28.11.2014 Views

Online proceedings - EDA Publishing Association

Online proceedings - EDA Publishing Association

Online proceedings - EDA Publishing Association

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

COLLECTION OF PAPERS PRESENTED AT THE<br />

Symposium on<br />

Design, Test, Integration and Packaging of<br />

MEMS/MOEMS<br />

Chairs/Editors<br />

Bernard COURTOIS<br />

Jean Michel KARAM<br />

Ai-Qun LIU<br />

Ryutaro MA<strong>EDA</strong><br />

Pascal NOUET<br />

Peter SCHNEIDER<br />

11-13 May 2011<br />

Aix-en-Provence, France<br />

Sponsored by:


II


III


IV


V


VI


Table of Contents<br />

Wednesday 11 May<br />

INVITED TALK 1: TRENDS AND CHALLENGES IN MODERN MEMS SENSOR PACKAGES<br />

Trends and Challenges in Modern MeMs sensor PaCkages .................................................................... 1<br />

Jiri Marek<br />

SESSION C1: COMPACT AND BEHAVIOURAL MODELLING<br />

dynaMiC Behavior of resonanT PiezoeleCTriC, CanTilevers ParTially iMMersed in liquid . ....... 4<br />

M. Maroufi, Sh. Zihajehzadeh, M. Shamshirsaz, A.H. Rezaie, M.B. Asgari<br />

Behavioural Modelling of MeMs osCillaTors and Phase noise siMulaTion .................................. 392<br />

Guillaume Papin, Raphael Levy, Gaelle Lissorgues, Patrick Poulichet<br />

reliaBle sysTeM-level Models for eleCTrosTaTiCally aCTuaTed deviCes<br />

under varying aMBienT CondiTions: Modeling and validaTion .............................................................. 8<br />

Gabriele Schrag, Martin Niessner, Gerhard Wachutka<br />

invesTigaTion on The effeCT of geoMeTriCal diMensions on The ConduCTive<br />

Behaviour of a MeMs ConveCTive aCCeleroMeTer . .....................................................................................14<br />

A.A. Rekik, B. Mezghani, M. Masmoudi, F. Azaïs, N. Dumas, F. Mailly, P. Nouet<br />

design and siMulaTion of an on-ChiP oversaMPling ConverTer<br />

wiTh a CMos-MeMs differenTial CaPaCiTive sensor ....................................................................................18<br />

Ma Li Ya, Anis Nurashikin Nordin, Sheroz Khan<br />

SESSION T1: FABRICATION AND PACKAGING<br />

faBriCaTion of high asPeCT raTio nanoPorous array on siliCon ..........................................................23<br />

Jing-Yu Ho, Gou-Jen Wang<br />

faBriCaTion MeThods for The ManufaCTure of saPPhire MiCroParTs . .................................................29<br />

David M. Allen, Roxana Redondo, Maximilien Dany<br />

CharaCTerisaTion and CoMParison of waTer and alCohol as CaTalysTs ..........................................35<br />

in vaPour Phase hf eTChnig of siliCon oxide filMs<br />

D. Drysdale, T. O’Hara, C. H. Wang<br />

agile MeMs PaCkaging for Mass CusToMized MeMs ProduCTs ................................................................41<br />

Jens G. Kaufmann, David Flynn, Keith Brown, Marc P.Y. Desmulliez<br />

wafer-level glass-CaPs for advanCed oPTiCal aPPliCaTions . ................................................................46<br />

Juergen Leib, Oliver Gyenge, Ulli Hansen, Simon Maus, Karin Hauck, Kai Zoschke, Michael Toepper<br />

SESSION C2: MODEL ORDER REDUCTION<br />

reduCed order Modelling of MeMs dynaMiCs . ............................................................................................53<br />

Stefano Mariani, Saeed Eftekhar Azam, Aldo Ghisi, Alberto Corigliano, Barbara Simoni<br />

a Model for Two-diMensional arrays of CanTilevers in The dynaMiC regiMe . ...............................59<br />

Hui Hui, Michel Lenczner<br />

sensiTiviTy analysis and adaPTive MulTi-PoinT MulTi-MoMenT Model<br />

order reduCTion in MeMs design . ......................................................................................................................64<br />

Andreas Köhler, Sven Reitz, Peter Schneider<br />

vii


SESSION T2: ENERGY HARVESTING<br />

inTegraTion of ferroeleCTriC BaTio 3<br />

on MeTalliC ni<br />

TaPes for Power generaTion . ..............................................................................................................................72<br />

Greg Collins, Emanuel Silva, Ming Liu, David Elam, Chunrui Ma, Andrey Chabanov, Arturo Ayon, Chonglin Chen,<br />

Jie He, Jiechao Jiang, Efstathios Meletis<br />

an eleCTroMeChaniCal Model for ClaMPed-ClaMPed BeaM TyPe PiezoeleCTriC TransforMer ... 75<br />

Chi-Shao Chen, Chia-Che Wu<br />

sTudy of BlaCk siliCon oBTained By deeP reaCTive ion eTChing ...........................................................81<br />

aPProaCh To aChieving The hoT sPoT of a TherMoeleCTriC energy harvesTer<br />

K.N Nguyen, D.Abi-Saab, M. Malak, P. Basset, E. Richalot, N. Pavy, F. Flourens, F. Marty, D. Angelescu, Y. Leprince-Wang, T.Bourouina<br />

SESSION C3: MODELLING AND VALIDATION<br />

PerforManCe evaluaTion of MeMs PiezoeleCTriC inerTial energy generaTor . ...............................85<br />

Aliza Aini Md Ralib, Anis Nurashikin Nordin, Raihan Othman, Hanim Salleh,<br />

ParaMeTer design of Triaxial MiCroaCCeleroMeTers wiTh PiezoeleCTriC Thin-filM ...................90<br />

Jyh-Cheng YU, Chungda Lee<br />

Modeling and exPeriMenTal validaTion of leviTaTing sysTeMs<br />

for energy harvesTing aPPliCaTions . ..............................................................................................................97<br />

Giorgio De Pasquale, Sonia Iamoni, Aurelio Somà<br />

MoleCular dynaMiC siMulaTion of nanoParTiCle size effeCT on MelTing PoinT of gold . .........103<br />

P. Nayebi, M.Shamshirsaz, K. Mirabbaszadeh, E. Zaminpeyma, M.B. Asgari<br />

sTress idenTifiCaTion of Thin MeMBrane sTruCTures By dynaMiC MeasureMenTs . ......................106<br />

Steffen Michael, Christoph Schäffel, Sebastian Voigt, Roy Knechtel<br />

SESSION T3: SENSORS AND ACTUATORS<br />

Meso-sCale aCTuaTor design for The inTegraTed dynaMiC<br />

alignMenT of a lensleT array wiThin a PaCkage ......................................................................................110<br />

Stefan Wilhelm, Robert W. Kay, Marc P.Y. Desmulliez<br />

iMPleMenTing MeMs resonaTors in 90 nM CMos . ..........................................................................................116<br />

J.E. Ramstad, J.A. Michaelsen, O. Soeraasen, D. Wisland<br />

The influenCe of adhesive MaTerials on ChiP-on-Board PaCking of MeMs MiCroPhone . ............122<br />

Cheng-Hsin Chuang, Yi-Hsuan Huang, Shin-Li Lee<br />

Model of a volTage driven CaPaCiTive CouPled MiCro eleCTro-MeChaniCal rf swiTCh. ............128<br />

P. Heeb, W. Tschanun, R. Buser<br />

a Closed-looP MiCroMaChined aCCeleroMeTer Based on TherMal ConveCTion . ..........................134<br />

Alexandra Garraud, Philippe Combette, Benoît Charlot, Pierre Loisel, Alain Giani<br />

viii


PANEL DISCUSSION TEXTILE MICROSYSTEMS ...................................................................................137<br />

PiezoeleCTriC Charging for sMarT faBriC aPPliCaTions ........................................................................138<br />

R. Hackworth , J. R. Moriera, R. Maxwell, R. Kotha, A.A. Ayon<br />

MeTer-sCale surfaCe CaPaCiTive TyPe of TouCh sensors<br />

faBriCaTed By weaving ConduCTive-PolyMer-CoaTed fiBers ................................................................142<br />

Seiichi Takamatsu, Takeshi Kobayashi, Nobuhisa Shibayama, Koji Miyake, Toshihiro Itoh<br />

POSTER INTRODUCTION SESSION<br />

on-wafer-PaCkaging of CrysTal quarTz Based<br />

devises using low-TeMPeraTure anodiC Bonding . .....................................................................................148<br />

Y. Zimin, T. Ueda<br />

a novel self-Powered MeThod for PiPe flow Measuring .......................................................................152<br />

Song Hao Wang, Ronald Garcia, Pei Hua Chang<br />

a MiCrofluidiC ChiP wiTh single-ParTiCle-Based arrays using eleCTroosMoTiC flow . ...............157<br />

Chun-Ping Jen, Ju-Hsiu Hsiao<br />

a novel full range vaCuuM Pressure sensing TeChnique using free daMPing deCay<br />

of MiCro-Paddle CanTilever BeaM defleCTed By eleCTrosTaTiC forCe . ...........................................160<br />

Guan-Lan Chen, Chi-Jia Tong, Ya-Chi Cheng, Yu-Ting Wang, Ming-Tzer Lin<br />

design and develoPMenT of viBraTional MeChanoeleCTriCal MeMs TransduCer<br />

for MiCroPower generaTion . ............................................................................................................................164<br />

Rolanas Dauksevicius, Genadijus Kulvietis, Vytautas Ostasevicius, Ieva Milasauskaite<br />

inTerfaCial ConfiguraTions and Mixing PerforManCes of fluids<br />

in sTaggered Curved-Channel MiCroMixers ..............................................................................................170<br />

Jyh Jian Chen, Chun Huei Chen, Shian Ruei Shie<br />

MiCro ProBe array faBriCaTion By using The MiCrolens array Mask<br />

Through ProxiMiTy PrinTing . ............................................................................................................................176<br />

Tsung-Hung Lin, Hsiharng Yang, Ching-Kong Chao<br />

CresCenT shaPed alignMenT Marks aPPliCaBle To self-alignMenT of MiCro-ParTs<br />

wiTh and wiThouT PosiTive and negaTive Poles . ........................................................................................180<br />

Shouhei Shiga, Dong F. Wang, Takao Ishida, Ryutaro Maeda<br />

siMulaTion of 3d soi-sTruCTures for MeMs eleMenTs . .............................................................................184<br />

Igor Kogut, Victor Holota, Victor Dovhij, Anatoliy Druzhinin<br />

sTudies of oPTiCal and CrysTal ProPerTies of ald grown zno . ............................................................185<br />

David Elam, Anastasiia Nemashkalo, Yuri Strzhemechny, Chonglin Chen, Arturo Ayon, Andrey Chabanov<br />

a MeThodology for The Pull-in volTage of ClaMPed diaPhragMs . ....................................................187<br />

Joseph Lardiès, Marc Berthillier<br />

oPTiMisaTion and realisaTion of a PorTaBle nMr aPParaTus and MiCro anTenna for nMr . .......193<br />

Patrick Poulichet, Latifa Fakri-Bouchet, Christophe Delabie, Lionel Rousseau, Abdenasser Fakri, Anne Exertier<br />

ix


Convex Corner CoMPensaTion for a CoMPaCT seisMiC Mass<br />

wiTh high asPeCT raTio using anisoTroPiC weT eTChing of (100) siliCon ............................................197<br />

Jyh-Cheng YU<br />

a PrograMMaBle neural MeasureMenT sysTeM for sPikes and loCal field PoTenTials . ...........200<br />

Jonas Pistor, Janpeter Hoeffmann, Dagmar Peters-Drolshagen, Steffen Paul<br />

Thursday 12 May<br />

PANEL DISCUSSION HERMETICITY TESTS IN MEMS ................................................................................206<br />

Marc Desmulliez, Suzanne Costello, Heriot-Watt University, Edinburgh, UK., Wolfgang Reinert, Fraunhofer Institute for Silicon Technology<br />

Fraunhofer, Germany, Steven Martell, Sonoscan Inc., USA<br />

herMeTiCiTy TesT MeThods for MeMs:where are we? ...............................................................................207<br />

Marc Desmulliez<br />

sTandards for herMeTiCiTy TesT MeThods . ..................................................................................................208<br />

Suzanne Costello<br />

q-faCTor MoniToring as a 100% leak sCreen in indusTrial aPPliCaTions . ..........................................209<br />

Wolfgang Reinert<br />

evaluaTing The seal inTegriTy of MeMs herMeTiC PaCkages ................................................................210<br />

Steven R. Martell<br />

PANEL DISCUSSION HIGH ADDED VALUE MEMS ........................................................................................211<br />

Jérémie BOUCHAUD, IHS iSuppli, Munich, Germany, Jean Michel Karam, MEMSCAP, Bernin, France, Sean Neylon, Colibrys, Neuchâtel,<br />

Switzerland, Thierry Brisard, NEOSENS, Toulouse, France<br />

SPECIAL SESSION ON NETWORKED MICROSYSTEMS FOR GREEN AND LIFE INNOVATION<br />

inTegraTed sensing sysTeMs for healTh and safeTy . ..............................................................................212<br />

Kiyoshi ITAO<br />

design, faBriCaTion, and inTegraTion of PiezoeleCTriC MeMs deviCes<br />

for aPPliCaTions in wireless sensor neTwork . ..........................................................................................217<br />

Jian Lu, Yi Zhang, Toshihiro Itoh, Ryutaro Maeda<br />

novel MeMs digiTal TeMPeraTure sensor for wireless avian-influenza<br />

MoniToring sysTeM in PoulTry farM . .............................................................................................................222<br />

Yi Zhang, Hironao Okada, Takeshi Kobayashi, Toshihiro Itoh<br />

aPPliCaTion of wireless sensor nodes To CoMMerCial Power ConsuMPTion MoniToring . .........227<br />

Toshihiro Itoh, Jun Fujimoto, Ryutaro Maeda, Takeshi Kobayashi, Toshihiro Itoh, Ryutaro Maeda<br />

develoPing MeMs dC eleCTriC CurrenT sensor for end-use MoniToring of dC Power suPPly .......231<br />

Kohei Isagawa, Dong F. Wang, Takeshi Kibayashi, Toshihiro Itoh, Ryutaro Maeda<br />

low Power analog To digiTal ConverTor wiTh digiTal CaliBraTion for sensor neTwork .......237<br />

Tsukasa Fujimori, Hiroshi Imamoto, Hideaki Kurata, Yasushi Goto, Toshihiro Ito, Ryutaro Maeda<br />

x


Friday 13 May<br />

SESSION C4: APPLICATIONS I<br />

large area adaPTaTive fluidiC lens ..............................................................................................................241<br />

Solon Mias, Aurélien Bancaud , Henri Camon<br />

faBriCaTion and CharaCTerisTiCs of a fused siliCa-Based oPTiCal waveguide<br />

wiTh feMToseCond fiBer laser Pulses . ..........................................................................................................245<br />

Ting-Chou Chang, Chien-Hsing Chen, Wei-Hung Shih, Jian-Neng Wang, Chai-Yu Lee, Jaw-Luen Tang, Shau-Chun Wang,<br />

Lai-Kwan Chau, Wei-Te Wu<br />

a MulTilevel PolyMer ProCess for liquid direCT<br />

enCaPsulaTion for oPTo-fluidiC aPPliCaTion . .............................................................................................249<br />

Remy Bossuyt, Laurent Mazenq, Véronique Conédéra, Jérôme Ballet, Anne-Marie Gué, Jean-Paul Cano, Henri Camon<br />

MulTiPle-ouTPuT MeMs dC/dC ConverTer ......................................................................................................253<br />

A. Chaehoi, M. Begbie, D. Weiland, S. Scherner<br />

design of The siliCon MeMBrane of high fideliTy and high effiCienCy MeMs MiCrosPeaker .......258<br />

Iman Shahosseini, Elie Lefeuvre, Emile Martincic, Marion Woytasik, Johan Moulin, Souhil Megherbi, Romain Ravaud, Guy Lemarquand<br />

ModulaTion insTaBiliTy in rf MeMs deviCes . ...............................................................................................263<br />

Romolo Marcelli, Giancarlo Bartolucci, Giorgio De Angelis, Andrea Lucibello, Emanuela Proietti<br />

SESSION T4: EMBOSSING AND MOULD<br />

sTudy of sCreen-PrinTing MiCrolens array using eleCTroforMing Molds ....................................268<br />

Ming-Je Lin, Hsiharng Yang, Feng-Tsai Weng<br />

PolyMer-Based faBriCaTion TeChniques for enClosed<br />

MiCroChannels in BioMediCal aPPliCaTions ..................................................................................................273<br />

Annabel Krebs, Thorsten Knoll, Dominic Nussbaum, Thomas Velten<br />

hoT eMBossing of BiodegradaBle PolyMers . ..............................................................................................278<br />

Matthias Worgull, Alexander Kolew, Heilig Markus, Marc Schneider, Heinz Dinglreiter<br />

su-8-Based raPid Tooling for TherMal roll eMBossing. .........................................................................279<br />

Khaled Metwally, Laurent Robert, Roland Salut, Chantal Khan Malek<br />

MulTi-CoMPonenT hoT eMBossing of MiCro- and nanosysTeMs ............................................................284<br />

Alexander Kolew, Markus Heilig, Karsten Sikora, Daniel Muench, Matthias Worgull<br />

INVITED TALK 2: SUCCESS IN MEMS, «FROM DRIE TECHNOLOGY TO SOCIAL INNOVATION»<br />

suCCess in MeMs, «froM drie TeChnology To soCial innovaTion» . .......................................................288<br />

Susumu KAMINAGA<br />

xi


SESSION C5: APPLICATIONS II<br />

BrighTness enhanCeMenT of oleds By using<br />

MiCrolens array filM wiTh siliCon oil and ag ParTiCles .......................................................................294<br />

Shan-Shan Hsu, Tung-Yu Chang, Hsiharng Yang, Jen-Sung Hsu<br />

inTegraTion of hyBrid oPTiCal filTer wiTh Buried quad Pn-junCTion PhoTodeTeCTor<br />

for MulTi-laBeling fluoresCenCe deTeCTion aPPliCaTions. ..................................................................300<br />

Charles Richard, Patrick Pittet, Stéphane Martel, Luc Ouellet, Guo-Neng Lu, Vincent Aimez, Paul G. Charrette<br />

faBriCaTion and CharaCTerisTiCs of a fusedsiliCa-Based oPTiCal<br />

waveguide wiTh feMToseCond - fiBer laser Pulses ..................................................................................305<br />

Ting-Chou Chang, Chien-Hsing Chen, Wei-Hung Shih, Jian-Neng Wang, Chai-Yu Lee, Jaw-Luen Tang,<br />

Shau-Chun Wang, Lai-Kwan Chau, Wei-Te Wu<br />

CaPaCiTive MiCroPhone faBriCaTed wiTh CMos-MeMs surfaCe-MiCroMaChining TeChnology .....309<br />

Josué Esteves, Libor Rufer, Gustavo Rehder<br />

a novel inTegraTed soluTion for The ConTrol and diagnosis<br />

of eleCTrosTaTiC MeMs swiTChes . ....................................................................................................................315<br />

Carlo Trigona, Norbert Dumas, Laurent Latorre, Pascal Nouet<br />

an ulTra low Power TeMPeraTure sensor for sMarT PaCkaging MoniToring . ...............................320<br />

Souha Hacine, Frederick Mailly, Norbert Dumas, Laurent Latorre, Pascal Nouet<br />

SESSION T5: RELIABILITY, TESTING AND MEASUREMENT<br />

aCCuraTe TherMal CharaCTerizaTion of Power seMiConduCTor PaCkages<br />

By TherMal siMulaTion and MeasureMenTs . ............................................................................................324<br />

Andras Vass-Varnai, Robin Bornoff, Sandor Ress, Zoltan Sarkany, Sandor Hodossy, Marta Rencz<br />

linear energy ConTrol of laser drilling and iTs aPPliCaTion<br />

for TfT-lCd BrighT Pixel rePairing .................................................................................................................330<br />

Taco Chen, Ming-Tzer Lin<br />

MeasureMenT of eleCTriCal ProPerTies of MaTerials under The oxide layer<br />

By MiCrowave-afM ProBe . ......................................................................................................................................334<br />

Lan Zhang, Yang Ju, Atsushi Hosoi, Akifumi Fujimoto<br />

aMPliTude enhanCeMenT using viBraTion Mode loCalizaTion<br />

wiTh a single MiCro-MeChaniCally CouPled BeaM-shaPed resonaTor array . ................................339<br />

Keisuke Chatani, Dong F. Wang, Tsuyoshi Ikehara, Ryutaro Maeda<br />

uniaxial MeChaniCal sTress and nanoindenTaTion To CharaCTerize Thin MulTilayers ...........344<br />

Thibaut Fourcade, Jeremie Dhennin, Xavier Chauffleur, Mikaël Colin, Jean-Michel Desmarres, Joël Alexis<br />

eleCTriCal and MeChaniCal CharaCTerizaTion of laTeral neMs swiTChes . ...................................348<br />

R. Hinchet, L. Montès, G. Bouteloup, G. Ardila, R. Parsa, R.T. Howe, H.-S. Philip Wong, K. Akarvardar<br />

xii


SPECIAL SESSION OF BIO-MEMS/NEMS<br />

a dieleCTroPhoreTiC PreConCenTraTor wiTh CirCular MiCroeleCTrodes<br />

for BiologiCal Cells in sTePPing eleCTriC fields .....................................................................................352<br />

Chun-Ping Jen, Ho-Hsien Chang<br />

a novel su-8 MiCrogriPPer wiTh exTernal aCTuaTor for BiologiCal Cells ManiPulaTion . .......356<br />

M. Mehdi S. Mousavi, Giorgio De Pasquale, Aurelio Somà, Eugenio Brusa<br />

ParTiCle foCusing in a ConTaCTless dieleCTroPhoreTiC MiCrofluidiC ChiP<br />

wiTh insulaTing sTruCTures .............................................................................................................................362<br />

Chun-Ping Jen, Hsin-Yuan Shih, Yung-Chun Lee, Fei-Bin Hsiao<br />

inCreasing densiTy of anTiBody-anTigen Binding on a sensor surfaCe<br />

By ConTrolling MiCrofluidiC environMenTs . ............................................................................................366<br />

Chia-Che Wu, Ling-Hsuan Hung, Ching-Hsiu Tsai, Yao-Lung Liu<br />

faBriCaTion and aPPliCaTion of iron-oxide nanoParTiCle/PdMs Cone in laB on a ChiP . ...............372<br />

Cheng-Chun Huang, Ming-Dao Wu, Yu-Chi Wang, Wen-Pin Shih<br />

diaMond-Based TeChnology dediCaTed To MiCro<br />

eleCTrode arrays for neuronal ProsTheses . ............................................................................................378<br />

A. Bongrain, A. Bendali, G. Lissorgues, Lionel Rousseau, B. Yvert, E. Scorsone, P.Bergonzo, S. Picaud<br />

MeasureMenT of diffusiviTy in nanoChannels . ........................................................................................382<br />

Yu-Tze Tsai, Gou-Jen Wang<br />

energy harvesTing sysTeM for CardiaC iMPlanT aPPliCaTions ...........................................................387<br />

Martin Deterre, Bertrand Boutaud, Renzo Dalmolin, Sébastien Boisseau, Jean-Jacques Chaillout, Elie Lefeuvre, Elisabeth Dufour-Gergam<br />

index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 398<br />

xiii


XIV


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Trends and Challenges in<br />

Modern MEMS Sensor Packages<br />

Jiri Marek<br />

Robert Bosch GmbH<br />

Tübinger Straße 123<br />

72762 Reutlingen, Germany<br />

Abstract- Modern MEMS sensors for automotive as well as<br />

consumer electronics face a continuous pressure for size<br />

reduction. This can be met not only by a consistent shrink of<br />

sensing elements and ASICs resulting in a smaller package but<br />

also through the integration of several sensors into one system.<br />

The trends and challenges of this steady shrink will be<br />

explained and several examples of current automotive and<br />

consumer MEMS sensors will be shown.<br />

Growth ~10% p.a.<br />

I. INTRODUCTION<br />

A car is skidding and stabilizes itself without driver<br />

intervention; a laptop falls to the floor and protects the hard<br />

drive by parking the read/write drive head automatically<br />

before impact; an airbag fires before the driver involved in<br />

an impending automotive crash impacts the steering wheel<br />

thereby significantly reducing physical injury risk; – all<br />

these systems are based exclusively on MEMS sensors.<br />

These crucial MEMS sensor components of electronic<br />

control systems are making system reactions to human<br />

needs more intelligent, precise, and at much faster reaction<br />

rates than humanly possible.<br />

Important prerequisites for the success of sensors are their<br />

size, functionality, power consumption and costs. This<br />

technical progress in sensor development is realized by<br />

micro-machining. The development of these processes was<br />

the breakthrough to industrial mass-production for microelectro-mechanical<br />

systems (MEMS). Besides leading-edge<br />

micromechanical processes, innovative and robust ASIC<br />

designs, thorough simulations of the electrical and<br />

mechanical behaviour, a deep understanding of the<br />

interactions (mainly over temperature and lifetime) of the<br />

package and the mechanical structures are needed. This was<br />

achieved over the last 20 years by intense and successful<br />

development activities combined with the experience of<br />

volume production of billions of sensors.<br />

II.<br />

MARKET AND DRIVERS<br />

A. Market Size<br />

The growth of the MEMS market and the market<br />

segmentation is shown in Fig. 1 (source: iSuppli). Today’s<br />

market size is around 7 billion US dollars with four main<br />

segments:<br />

Source – iSuppli Corporation MEMS market tracker, H2 2010<br />

Figure 1: MEMS market<br />

• data processing (mainly ink jet printer nozzles)<br />

• automotive<br />

• mobile and consumer electronics<br />

• industry and process control<br />

B. Market Drivers for MEMS Sensors<br />

For MEMS Sensors there are several drivers which push<br />

new developments. In different markets the drivers are<br />

similar but have a different ranking.<br />

For automotive MEMS sensors the main drivers are:<br />

1. high functional requirements (high accuracy, selftest,<br />

advanced safety concepts)<br />

2. high reliability and quality (reliability for 15 years<br />

with failure rates of less than 1ppm under extreme<br />

environmental conditions)<br />

3. low price<br />

MEMS sensors for consumer electronics applications face<br />

different drivers:<br />

1. low price (


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Figure 2: Package roadmap of automotive acceleration sensors<br />

III. ACCELERATION SENSORS<br />

The success story of MEMS acceleration sensors started<br />

nearly 20 years ago with first high-g sensors for airbag<br />

applications and continued with low-g sensors for ABS,<br />

ESP, etc. Today over 90% of all new passenger cars are<br />

sold with an airbag system with at least one<br />

micromechanical acceleration sensor inside. These<br />

impressing equipment rates are only possible due to a<br />

continous and massive reduction of the costs of an airbag<br />

system and therefore also of the integrated acceleration<br />

sensor. This is achieved by strong improvements in the<br />

micromechanical sensing elements, the ASIC and – last but<br />

not least – the packaging. Fig. 2 shows the roadmap of<br />

packages for airbag acceleration sensors at Bosch. The first<br />

sensor, issued in the late 1970s, was a mechanical sensor<br />

element in a metal can. In the mid 1980s the first<br />

mechanical sensor followed with the ASIC integrated in the<br />

same metal package. It was supplanted in 1996 by the first<br />

generation of micromechanical acceleration sensors in a<br />

PLCC28 package. The current generation of airbag<br />

accelerometers, starting in 2010, uses a SOIC8 package.<br />

This corresponds to a size reduction of more than 85% in 14<br />

years.<br />

The massive size reduction was achieved by several steps<br />

in technology development. Due to design and process<br />

progress the micromechanical sensor element could be<br />

drastically reduced in size. The use of modern technologies<br />

in IC processes led to a steady decrease of the ASIC size at<br />

the same time – despite enhanced sensor performance and<br />

higher self-test capabilities. With sophisticated state of the<br />

art simulations – fed by the experience of several sensor<br />

generations and of far more than 1 billion sensors produced<br />

– key parameters of the package are optimized. The most<br />

important of those are<br />

• overall geometry (package height, length and width vs.<br />

die size, symmetry, …)<br />

• leadframe design (size, thickness, structure, …)<br />

• die-attach (material parameters like E-modulus,<br />

thickness,…)<br />

• mold compound (CTEs, …)<br />

• mold coverage (overall portion of mold compound vs.<br />

Silicon content of the package)<br />

The main hurdles for a more aggressive package size<br />

reduction are the the capability for further processing and<br />

the extreme environmental conditions automotive sensors<br />

have to withstand.<br />

Figure 3: Footprint of automotive and CE acceleration sensors<br />

Figure 4: Crosssection and SEM picture of BMA220 (© Chipworks)<br />

The consumer electronics (CE) industry has even higher<br />

constraints regarding package size (footprint as well as<br />

height). Bosch’s first acceleration sensor for CE in 2006<br />

reduced the automotive package size to a 4 4 mm² QFNpackage<br />

by half. Already one year later the size was further<br />

reduced to 3 3 mm². At the beginning of 2010 Bosch<br />

introduced the BMA220 - world’s first digital acceleration<br />

sensor in a 2 2 mm² LGA package. Fig. 3 shows the<br />

footprint development of automotive and CE sensors.<br />

One major step towards the 2 2 cm² package was the<br />

transition from side-by-side assembly to 3D stacked<br />

assembly. With this 3D Integration approach the ASIC is<br />

stacked on the micromechanical sensor element. Fig. 4<br />

depicts insights into the construction of the BMA220.<br />

IV. INERTIAL COMBI-SENSORS<br />

Sooner or later the further size reduction will become<br />

increasingly difficult. A new trend arises for sensors used in<br />

systems with a standard combinations of different sensors.<br />

An example are the inertial sensors used for vehicle<br />

dynamics control systems like ESP®. A typical ESP system<br />

needs the signals of a yaw rate sensor and an one or two<br />

axial low-g acceleration sensor.<br />

The first ESP systems were using a macro-mechanical<br />

yaw rate sensor, which was based on a piezoelectrically<br />

actuated, vibrating metal cylinder with piezo’s as sensing<br />

element of the Coriolis force [1], for detection of the car´s<br />

rotation along its vertical axis. In addition a mechanical<br />

single-axis low-g accelerometer has been applied to detect<br />

the vehicle´s dynamical state and for plausibilization of the<br />

yaw rate signal.<br />

2


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Figure 7: Package roadmap of yaw rate sensors for ESP<br />

specific readout circuit (ASIC) in a SOIC16w package (Fig.<br />

6). With this approach the footprint of the sensor could be<br />

reduced by 70% to the two predecessor sensors.<br />

Figure 5: SEM picture of Bosch’s first micromechanical yaw rate sensor<br />

(combination of bulk and surface micromachining)<br />

In 1998, as ESP systems were starting to gain broader<br />

market share, Bosch introduced its first silicon<br />

micromachined yaw rate sensor [2]. The sensing elements<br />

were manufactured using a mixed bulk and surface<br />

micromachining technology and have been packaged in a<br />

metal can housing (Fig. 5).<br />

Growing demand for new additional functions of ESP and<br />

of future vehicle dynamics systems – like Hill Hold Control<br />

(HHC), Roll Over Mitigation (ROM), Electronic Active<br />

Steering, and others – required the development of<br />

improved inertial sensors with higher precision at lower<br />

manufacturing costs. These goals have been achieved by the<br />

3 rd generation ESP sensors [3], a digital inertial sensor<br />

platform based on cost effective surface micromachining<br />

technology, which was released in 2005.<br />

Fig. 7 shows the development of the first mechanical yaw<br />

rate sensor to the current combined inertial sensor SMI540<br />

REFERENCES<br />

[1] A. Reppich, R. Willig, “Yaw Rate Sensor for Vehicle Dynamics<br />

Control Systems”, SAE Technical Paper 950537 (1995).<br />

[2] M. Lutz, W. Golderer. J. Gerstenmeier, J. Marek, B. Maihöfer, S.<br />

Mahler; H. Münzel, U. Bischof, in Proceedings of Transducers '97,<br />

Chicago, IL, June 1997, p. 847-850.<br />

[3] U. Gómez, B. Kuhlmann, J. Classen, W. Bauer, C. Lang, M. Veith,<br />

E. Esch, J. Frey, F. Grabmaier, K. Offterdinger, T. Raab, R. Willig,<br />

R. Neul, “New Surface Micromachined Angular Rate Sensor for<br />

Vehicle Stabilizing Systems in Automotive Applications”, in<br />

Proceedings of Transducers ’05, Seoul, June 2005, p. 184-187.<br />

Recent development at Bosch resulted in the world’s first<br />

integrated inertial sensor modules, combining different<br />

sensors (angular rate and low-g acceleration sensors) and<br />

various sensing axis (x, y) into one single standard mold<br />

package at low size and footprint (SMI540). In detail, the<br />

sensor consists of a combination of two surface<br />

micromachined MEMS sensing chips – one for angular rate,<br />

one for 2-axis acceleration – stacked onto an application<br />

Figure 6: Combined inertial sensor SMI540 for ESP<br />

3


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Dynamic Behavior of Resonant Piezoelectric<br />

Cantilevers Partially Immersed in Liquid<br />

M. Maroufi 1,2 ,Sh. Zihajehzadeh 1,3 , M. Shamshirsaz 1 , A.H. Rezaie 3 , M.B. Asgari 4<br />

1 New Technologies Research Center, 2 Mechanical Engineering Department, 3 Electrical Engineering Department<br />

Amirkabir University of Technology (Tehran Polytechnic), 4 Niroo Research Institute<br />

424 Hafez Ave., P.B. 15875-4413. Tehran, Iran<br />

E-mail: shamshir@aut.ac.ir<br />

Abstract<br />

Resonant Piezoelectric-excited Millimeter-sized Cantilevers<br />

(PEMC), has attracted many researchers' interest in the<br />

applications such as liquid level and density sensing. As in<br />

these applications, the PEMC are partially immersed in liquid,<br />

an appropriate analytical model is needed to predict the<br />

dynamic behavior of these devices.<br />

In this work, a PEMC has been fabricated for liquid level<br />

sensing. An analytical model based on Euler-Bernoulli theory<br />

and energy method is developed and applied to evaluate the<br />

performance of this device with respect to different tip<br />

immersion depth. To validate this model, the theoretical<br />

results are compared with the experimental results for the tip<br />

immersion depth from 0.5 mm to 9 mm in water. The<br />

simulation results are in almost good agreement with<br />

experimental data. The difference in natural frequency<br />

obtained by the theoretical model for different immersion<br />

depth remains less than 8%. The linear region of the natural<br />

frequency shift versus immersion depth has been identified to<br />

be from the depth of 9 to 11 mm.<br />

I. INTRODUCTION<br />

Nowadays, resonant Piezoelectric-excited Millimeter-sized<br />

Cantilevers (PEMC) have many applications as sensors.<br />

Among these diverse applications, are the ones where the<br />

cantilever is partially immersed in the liquid environment. In<br />

these cases, PEMC are used for online measuring of liquid<br />

density [1], [2], [3] or online determination of liquid level at<br />

micron resolution [4]. <strong>Online</strong> level detection of liquid is a<br />

powerful tool in many analytical processes where solvent<br />

concentration has to be monitored.<br />

Even though, there exists different tools for liquid level<br />

sensing such as ultrasonic, acoustic and optical methods, none<br />

of them is competent with PEMC, considering their ease of<br />

fabrication, small size and high performance [4].In fact, the<br />

performance of these devices for sensing application in liquid<br />

environment depends on many factors such as dimension of<br />

the cantilever and the piezoelectric layer, the immersion depth<br />

of the cantilever into liquid and so on.<br />

To evaluate the performance of PEMC partially immersed in<br />

liquid, a theoretical model is needed. Analytical model for the<br />

piezoelectric driven macro cantilever in air in introduced in [5]<br />

and also a model for the thermal driven cantilever wholly<br />

immersed in liquid with application in AFM is presented in<br />

[6].<br />

In this work, a PEMC has been fabricated for liquid level<br />

sensing. The motivation is first to develop an analytical model<br />

to predict the dynamic behavior of PEMC partially immersed<br />

in liquid. This model is derived here based on Euler-Bernoulli<br />

theory and energy method. Further, this model could be<br />

utilized to investigate the effect of the different geometrical<br />

and material properties on the performance of these devices as<br />

future work.<br />

Second objective in this work is to identify the appropriate<br />

immersion depth range in which the resonant frequency<br />

changes due to immersion depth variation show a linear<br />

behavior in liquid level sensor application.<br />

To validate this model, the theoretical results are compared<br />

with the experimental results for the tip immersion depth from<br />

0.5 mm to 9 mm in water. The simulation results are in almost<br />

good agreement with experimental data. The difference in<br />

natural frequency obtained by the theoretical model for<br />

different immersion depth remains less than 8%. The linear<br />

region of the natural frequency shift versus immersion depth<br />

has been identified to be from the depth of 9 to 11 mm.<br />

II. THEORETICAL MODEL<br />

The fabricated PEMC is depicted schematically in Fig. 1. This<br />

structure consists of a millimeter sized steel beam as a<br />

cantilever on which a piezoelectric patch is attached. The<br />

cantilever is immersed partially in the fluid. Applying<br />

electrical AC voltage on the piezoelectric patch, the cantilever<br />

is forced to vibrate.<br />

To model the resonant cantilever partially immersed in liquid ,<br />

three regions on the cantilever has been considered; a) first<br />

part where piezoelectric patch is bonded on the cantilever, b)<br />

middle part of cantilever where it vibrates freely ignoring air<br />

damping effect c) end part where the cantilever vibrates in the<br />

liquid. Also, three coordinate systems are assumed in each<br />

region (Fig. 1).<br />

4


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

(3)<br />

In (3), d is the piezoelectric constant. To obtain E along<br />

piezoelectric thickness, it is assumed that an AC electrical<br />

voltage V is applied to piezoelectric patch. Using piezoelectric<br />

constitutive equation, the electrical field can be calculated by<br />

[5]:<br />

2 2 2 <br />

<br />

2 <br />

(4)<br />

Fig.1.Configuration of the PEMC partially immersed in liquid; three<br />

regions considered in theoretical model<br />

To achieve vibration equation of the cantilever accompany by<br />

piezoelectric patch the strain distribution along the thickness<br />

of the cantilever must be obtained. In Fig.2 the neutral axis<br />

position is demonstrated. In this figure the distance between<br />

neutral axis of the cantilever-piezoelectric from piezoelectric<br />

bottom layer is denoted by . It is assumed that the<br />

distribution of the strain along cantilever thickness is linear, so<br />

the strain at a distance x from the neutral axis is:<br />

<br />

<br />

(1)<br />

In which w is the transverse displacements of the cantilever.<br />

(w ) denotes twice derivation with respect to X 1 along the<br />

cantilever.<br />

In which t is the thickness of the piezoelectric. After<br />

Substitution (4) and (1) in (2), the energy of the piezoelectric<br />

layer can be obtained. To drive vibration equation, the<br />

Lagrangian for the piezoelectric layer and cantilever is<br />

calculated. So, the kinetic energy has to be solved. The mass<br />

per length for the first region of the piezoelectric cantilever in<br />

the kinetic energy calculation is defined as:<br />

(5)<br />

After calculation of the Lagrangian for both layers, the<br />

variation of the (6) is set to zero:<br />

<br />

<br />

0 (6)<br />

<br />

<br />

In which are the Lagrangian for each region. Using (6), the<br />

equation of motion and the boundary condition of the first<br />

region are determined. The equation of the motion is:<br />

2 2 0 (7)<br />

In (7), I , I are the moment of inertia of the piezoelectric<br />

patch and cantilever with respect to neutral axis respectively.<br />

A is the piezoelectric cross section area and Y is the Young<br />

modulus of the cantilever. a and a are defined as [5]:<br />

1 2 <br />

<br />

(8)<br />

Fig.2:The position of the neutral axis with respect to piezoelectric patch<br />

and the coordinate X 3 along the cantilever thickness<br />

<br />

2 <br />

8<br />

(9)<br />

To drive vibration equation of the first region of the cantilever,<br />

the energy stored in the piezoelectric can be determined by<br />

[5]:<br />

1 2 1 2 (2)<br />

Where Y is the Young modulus of the piezoelectric layer, E <br />

is the electrical filed along piezoelectric layer thickness due to<br />

applied voltage, is the dielectric constant. e is defined as:<br />

Using energy method also for the second region, the equation<br />

of the motion becomes [6]:<br />

0 (10)<br />

In the above equation, (w ) is the transverse displacement of<br />

the cantilever in the second region. To obtain solution in<br />

frequency domain, both (7) and (10) should be rewritten in<br />

frequency domain. (11) and (12) are the frequency domain<br />

expression of the (7) and(10) respectively:<br />

5


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

, 2 2 <br />

(11)<br />

, 0<br />

, TABLE 1<br />

, 0 (12)<br />

TABLE OF MATERIAL AND GEOMETRICAL PROPERTIES<br />

Property<br />

Cantilever<br />

Piezoelectric<br />

(Steel St304) (PZT5H4E)<br />

In the third region the vibration of the cantilever is affected by<br />

Young Modulus (GPa)<br />

the liquid presence. The force which is exerted by liquid to a<br />

193 62<br />

long vibrant cantilever in frequency domain is given in [7] Density (Kg/m 3 ) 8000 7800<br />

as:<br />

Length (mm) 36 6<br />

Width (mm) 3 3<br />

4 Γ , <br />

(13)<br />

Thickness(mm) 0.1 0.267<br />

Piezoelectric<br />

In (13), W is the transverse displacement of<br />

the cantilever in<br />

--- --- -320×10<br />

constant(m/V)<br />

-12<br />

the third region in frequency domain, ρ F is the density of fluid<br />

and b is the width of the cantilever. Γ is the hydrodynamic Relative Dielectric<br />

--- --- 3800<br />

function considering the viscosity and density of the displaced<br />

constant<br />

liquid [7]. Regarding the liquid force on the vibration of the<br />

cantilever, equation of the motion of the cantilever in<br />

The geometrical and material properties of the PEMC and<br />

frequency domain can be presented by:<br />

piezoelectric patch are given in TABLE 1.<br />

The natural frequency of the piezoelectric cantilever has been<br />

, , (14) determined by an impedance evaluation board, where the<br />

impedance phase angle attaints the maximum [4]. The<br />

To obtain the frequency response of the cantilever three experiments have been carried out to obtain natural<br />

equations developed above are solved simultaneously with frequencies of the PEMC for different immersion depth in<br />

appropriate boundary conditions.<br />

liquid. To vary this immersion depth, for each test, a known<br />

volume of water equivalent to 500μm liquid level change, is<br />

III. EXPERIMENTAL SETUP AND PROCEDURE<br />

added to container.<br />

The schematic of the experimental setup for liquid level<br />

sensing is shown in Fig. 3. The PEMC is mounted on a holder<br />

IV. RESULTS AND DISCUSSION<br />

which keeps PEMC at a fixed position in the<br />

liquid container<br />

during the experiments.<br />

The experimental and theoretical natural frequencies for each<br />

For the fabrication of the millimeter size cantilever, Electrical immersion depth in water are shown in Tab 2. As it can be<br />

Discharge Machining (EDM) is used to achieve the seen, the natural frequency decreases as the liquid level<br />

dimensional tolerances below millimeter. The piezoelectric increases. This decrease in natural frequency is due to a<br />

layer is cut by diamond knife and is attached to cantilever by greater displaced mass of liquid<br />

with the immersed cantilever<br />

cyanoacrylate adhesive. The schematic of the PEMC is shown part.<br />

in Fig. 4.<br />

The deviation percentage of theoretical results from<br />

experimental data defined as <br />

100 is also reported<br />

<br />

in this table. This deviation remains less than about 8% for<br />

different immersion depth.<br />

To examine the performance of<br />

the PEMC as a liquid level<br />

sensor, the curve of natural frequency shift versus immersion<br />

depth is presented in Fig. 5. In this figure, the middle region;<br />

from 9 to 11 mm immersion depths, not only the curve is<br />

linear but also it has the highest slope.<br />

Fig.3.Schematic of experimental setup for liquid level sensing<br />

Fig.4.Schematic of the PEMC<br />

TABLE 2<br />

COMPARISION OF THEORITICAL AND EXPERIMENTAL RESULTS IN WATER<br />

Immersion<br />

<br />

depth (mm)<br />

(Hz)<br />

6 4211<br />

7 4193<br />

8 4184<br />

9 4133<br />

10 4009<br />

11 3850<br />

12 3744<br />

13 3710<br />

14 3702<br />

(Hz)<br />

Deviation<br />

(%)<br />

4095.5 2.73<br />

4087 2.53<br />

4015 4.04<br />

3850 6.85<br />

3677.5 8.27<br />

3577 7.09<br />

3553 5.1<br />

3549 4.34<br />

3502 5.402<br />

6


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig.5.Experimental and theoretical natural frequency shift vs. immersion<br />

depth for water<br />

The sensor should be utilized in the region with the highest<br />

slope or highest sensitivity i.e. the highest frequency shift for<br />

each increment change in the immersion depth.<br />

It can be seen from Fig.5 that even though there is a slight<br />

difference between the theoretical and experimental curve, as<br />

the trend of the curves are similar, the dynamic behavior of the<br />

PEMC in liquid can be satisfactorily predicted by this<br />

theoretical model. The slight difference of theoretical results<br />

from the experimental data; a positive vertical shift<br />

accompanied with a negative horizontal shift, can be described<br />

as follow. First, theoretical model has been developed based<br />

on the assumptions for the simplicity of calculation such as the<br />

cantilever length and the container dimensions are assumed<br />

too long comparing with cantilever width and thickness, …[7].<br />

Moreover, some of the mechanical and dimensional<br />

parameters have been ignored due to lack of measurement<br />

parameters. These parameters are the thickness of the adhesive<br />

layer and its mechanical properties, the effect of the clamp and<br />

the dissipation factor in piezoelectric and cantilever. Also, the<br />

values of the steel cantilever and the piezoelectric material<br />

properties such as the Young modules, density …are provided<br />

from literature, so there exists some uncertainties in<br />

parameters' values given in Tab. 1. Furthermore, in the<br />

experimental test there can be some errors in determining the<br />

exact volume of added fluid, and consequently in determining<br />

the immersion depth exactly.<br />

REFERENCES<br />

[1] Kishan Rijal, Raj Mutharasan, “Piezoelectric-excited millimetersized<br />

cantilever sensors detect density differences of a few<br />

micrograms/mL in liquid medium”, Sensors and Actuators B 124<br />

(2007) 237--244<br />

[2] Christian Riesch, Erwin K. Reichel,Franz Keplinger, and Bernhard<br />

Jakoby, “Characterizing Vibrating Cantilevers for Liquid Viscosity<br />

and Density Sensing”, Hindawi <strong>Publishing</strong> Corporation Journal of<br />

Sensors Volume 2008, Article ID 697062, 9 pages<br />

doi:10.1155/2008/697062<br />

[3] Wan Y. Shih,Xiaoping Li, Huiming Gu, Wei-Heng Shih and Ilhan<br />

A. Aksay,” Simultaneous liquid viscosity and density<br />

determination with piezoelectric unimorph cantilevers”, Journal of<br />

Applied Physics, Volume 89, Number 2, January 2001<br />

[4] Gossett A. Campbell, Raj Mutharasan,” Sensing of liquid level at<br />

micron resolution using self-excited millimeter-sized PZT<br />

cantilever”, Sensors and Actuators A 122 (2005) 326–334<br />

[5] Sudipta Basak, Arvind Raman, Suresh V. Garimella, “Dynamic<br />

Response Optimization of Piezoelectrically Excited Thin Resonant<br />

Beams”, Journal of Vibration and Acoustics FEBRUARY 2005<br />

Vol. 127 / 19<br />

[6] Singiresu .S. Rao, “ Vibration of continuous systems”, Wily 2007,<br />

PP. 321-338.<br />

[7] John Elie Sader, “Frequency response of cantilever beams<br />

immersed in viscous fluids with applications to the atomic force<br />

microscope”, Journal of Applied Physics, Volume 84, Number 1,<br />

July 1998<br />

[8] K. Fukuda, H. Irihama, T. Tsuji, K. Nakamoto, K. Yamanaka,<br />

Sharpening contact resonance spectra in UAFM using Q-control,<br />

Surf. Sci. 532, 535 (2003) 1145–1151.<br />

V. CONCLUSION<br />

A PEMC with a test set-up have been fabricated for liquid<br />

level sensing. An analytical model to predict the dynamic<br />

behavior of partially immersed PEMC in liquid environment is<br />

developed. The validity of the model is examined by<br />

comparison of simulation results with the experimental data<br />

for different immersion depth of the PEMC in water. The<br />

difference in natural frequency obtained by the theoretical<br />

model for different immersion depth remains less than 8%. A<br />

linear region for sensing related to immersion depth from 9 to<br />

11 mm is identified where the sensitivity is maximum.<br />

7


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Reliable system-level models for electrostatically actuated<br />

devices under varying ambient conditions:<br />

Modeling and validation<br />

Gabriele Schrag, Martin Niessner, Gerhard Wachutka<br />

Institute for Physics of Electrotechnology, Munich University of Technology<br />

Arcisstr. 21, D-80290 München, Germany<br />

email: schrag@tep.ei.tum.de<br />

Abstract- We present a physics-based multi-energy domain<br />

macromodel that allows – in general – for the efficient simulation<br />

of any electrostatic actuator within standard IC frameworks and<br />

apply it exemplarily to an RF-MEMS switch. The predictive<br />

power of this macromodel, which depends crucially on the<br />

quality of the applied damping and contact models, has been<br />

evaluated by white light interferometer and laser vibrometer<br />

measurements. It turned out that the models for viscous damping<br />

as well as for the electromechanical energy domain are in very<br />

good agreement with the experiments while the applied standard<br />

contact model fails in reproducing the measured contact<br />

phenomena. Based on these findings suggestions for improved<br />

system-level contact models are discussed.<br />

investigation of the performance of the models for varying<br />

pressure conditions and during the phase of initial contact,<br />

since these phenomena have decisive impact on the closing<br />

behavior of the considered switch and all MEMS actuators<br />

operating in contact mode.<br />

I. MOTIVATION AND PROBLEM DESCRIPTION<br />

A key prerequisite for the routine use of<br />

microelectromechanical actuators like radio frequency (RF-<br />

MEMS) switches, e.g., as standard circuit elements is the<br />

availability of computationally efficient, but yet physics-based<br />

and, thus, predictive models, which correctly describe their<br />

operation. Furthermore, these models should be compatible<br />

with a framework that allows for an integrated design of<br />

semiconductor-based circuits with MEMS hybridization. The<br />

simulation of the switching behavior, i.e. of the pull-in and<br />

pull-out transients of such devices, is, however, a challenging<br />

task because multiple energy domains and their nonlinear<br />

interactions have to be taken into account, i.e. the electrostatic<br />

actuation of the mechanically moving parts, viscous air<br />

damping and contact forces during impact. The preferred<br />

method for enabling the fast simulation of such pull-in/-out<br />

transients is therefore not the use of complex and<br />

computationally expensive finite element models but of multienergy<br />

domain coupled macromodels with a highly reduced<br />

number of degrees of freedom that are by far more efficient and<br />

can be simulated within standard integrated circuit (IC) design<br />

frameworks.<br />

In the following, we present physics-based macromodels<br />

suited, in general, for the design of electrostatically actuated<br />

and viscously damped actuators which operate under dynamic<br />

pull-in conditions and apply it to an RF-MEMS switch. The<br />

derived models, which can be directly used for co-simulation<br />

with electronic circuits in standard IC design frameworks, are<br />

evaluated w.r.t. measurements carried out with a white light<br />

interferometer (WLI) and a laser Doppler vibrometer (LV),<br />

respectively. Special emphasis has been placed on the<br />

Figure 1. Measured (WLI) 3D profile of the RF switch without bias.<br />

Figure 2. Measured (WLI) profile of the electrodes and the 12 elevated<br />

contact pads. The membrane was removed for this measurement.<br />

II.<br />

contact<br />

pads<br />

DEMONSTRATOR AND EXPERIMENTAL SETUP<br />

A 3D white light interferometer profile of the considered<br />

RF-MEMS switch is depicted in fig. 1. The switch has been<br />

fabricated at Fondazione Bruno Kessler (FBK) in Trento [1]<br />

and consists of a movable perforated gold membrane<br />

suspended above a fixed ground electrode through four straight<br />

beams. The fixed ground electrode acts as actuation electrode<br />

of the switch and consists of several lateral fingers that are<br />

connected in parallel (cp. fig. 2). By applying a voltage, the<br />

8


suspended membrane can be pulled towards the ground<br />

electrode, collapses onto 12 elevated contact pads and closes an<br />

ohmic contact so that the RF signal path is closed.<br />

The topography of the switches has been analyzed by applying<br />

a white light interferometer (Veeco NT1100 DMEMS) and the<br />

dynamics has been characterized by recording the transient<br />

deflection of the moving membrane by a single spot laser<br />

Doppler vibrometer (Polytec OFV-5000). An on-purpose<br />

developed vacuum chamber with pressure control enables the<br />

characterization of the microstructures under varying pressure<br />

conditions in order to evaluate the applied models for viscous<br />

damping. The experimental set up is shown in fig. 3.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

using the single point laser Doppler vibrometer depicted in<br />

fig. 3, parameters like the pull-in/pull-out voltages (and from<br />

that the actual gap height) or the resonance frequency of the<br />

mechanical structure could be extracted. The parameters of the<br />

investigated switch, which are the basis of our models, are<br />

summarized in detail in table 1 below.<br />

Table 1. Technical data of the investigated RF-MEMS switches. For the<br />

electrode and the substrate, the gap width is given between the membrane and<br />

the dielectric layers.<br />

Membrane<br />

Suspensions<br />

Thickness 5.2 µm Thickness 2.0 µm<br />

Length 260 µm Length 165 µm<br />

Width 140 µm Width 10 µm<br />

A<br />

Side length of<br />

holes<br />

Spacing between<br />

holes<br />

20 µm<br />

20 µm Other<br />

Resonance frequency<br />

14.7 kHz<br />

C<br />

B<br />

C<br />

Gap widths<br />

Membrane to<br />

contact pad<br />

Membrane to<br />

electrode<br />

Membrane to<br />

substrate<br />

Thickness of 700 nm<br />

dielectric on<br />

electrode<br />

1.7 µm Pull-in voltage 29-30 V<br />

2.7 µm Release voltage 22-26 V<br />

3.4 µm Effective residual<br />

air gap (g min )<br />

~20-50 nm<br />

Figure 3. Photograph of the laser vibrometer (A) and the on-purpose<br />

developed pressure chamber (B). Two pressure sensors (C) are used to<br />

control the pressure inside the chamber .<br />

Displacement [μm]<br />

0.4<br />

0.2<br />

0<br />

-0.2<br />

-0.4<br />

-0.6<br />

-0.8<br />

-1<br />

-1.2<br />

-1.4<br />

-1.6<br />

-1.8<br />

-30 -20 -10 0 10 20 30<br />

Voltage [V]<br />

Figure 4. Quais-static pull-in/pull-out characteristic of the RF MEMS<br />

switch. A trinangular waveform with zero mean voltage and 70 V amplitude<br />

(peak to peak) at a frequency of 1 Hz has been applied. The pull-in voltage<br />

lies between 29 V and 30 V, the release voltage between 23 V and 26 V.<br />

As a first guess, the parameters of the switch have been taken<br />

from the technical data given by the process description and<br />

the design. In order to include also the manufacturing<br />

tolerances in our model and, thus, to enhance its accuracy,<br />

optical measurements appling a white ligth interferometer (see<br />

fig. 1 and 2) have been carried out in order to extract the exact<br />

dimensions of the device (electrode, contact pads, membrane<br />

thickness, e.g.). From the quasi-statically measured pull-in and<br />

pull-out characteristics (see fig. 4) and dynamic measurements<br />

III. MODELING AND THEORETICAL BACKGROUND<br />

The macromodel of the switch is derived on the basis of the<br />

hierarchical modeling approach as reported in [2], which is<br />

strictly based on flux-conserving reduced-order and/or compact<br />

modeling techniques, so that the resulting system-level models<br />

are rigorously formulated in terms of conjugated variables<br />

(”across”- and ”through”-variables) and the generalized<br />

Kirchhoffian network theory can be used as a theoretical<br />

framework for the formulation of the entire system model.<br />

Starting point of the modeling procedure is the decomposition<br />

of the device into tractable subsystems. In this particular case,<br />

these are the mechanical subsystem represented by the<br />

perforated membrane and the four flat suspension springs, the<br />

electrostatic subsystem, accounting for the electric field<br />

between the perforated membrane and the actuation electrode<br />

(see Fig. 2), and the fluidic subsystem comprising the ambient<br />

air that exerts damping forces on the moving parts of the<br />

structure. Additionally, adequate compact models have to be<br />

derived that describe the closing phase of the switch properly.<br />

The basis for the mechanical submodel of the suspended<br />

membrane is the modal superposition technique described in<br />

[3]. The eigenmode shapes and frequencies of the suspended<br />

membrane are calculated in a FEM simulation tool. The most<br />

significant modes – in the case of the considered switch the<br />

fundamental and the next higher completely symmetric<br />

eigenmode – are identified and used to formulate a<br />

macromodel in terms of modal amplitudes consisting of only<br />

one second-order differential equation per included eigenmode.<br />

9


11-13 <br />

May 2011, Aix-en-Provence, France<br />

Residual stress in the suspended membrane induced by the<br />

<br />

Here, q denotes the vector of modal amplitudes, φ<br />

pad , n<br />

the<br />

fabrication process has been taken into account by calibrating<br />

the fundamental eigenfrequency to the measured one.<br />

averaged modal shape factor for the n-th contact pad,<br />

The submodel for the electrostatic forces exerted by the<br />

ground electrode is derived in two steps. First, the electrostatic<br />

energy, which is stored between a single electrode finger and<br />

the membrane, is determined in terms of the modal amplitudes.<br />

Second, Lagrangian energy functionals are calculated for each<br />

eigenmode and are included as electrostatic actuation term in<br />

the respective eigenmode equation of the mechanical model.<br />

In order to take into account the viscous damping forces the<br />

mixed-level approach as presented in [4] is applied. It is based<br />

on the Reynolds equation, which is evaluated by applying a<br />

fluidic Kirchhoffian network distributed over the device<br />

geometry. At perforations and outer boundaries lumped<br />

physics-based fluidic resistances are added accounting for the<br />

additional pressure drops at these locations (see fig. 5).<br />

Consequently, this mixed-level model does not constitute a<br />

pure lumped element model and – depending on the granularity<br />

of the finite network – still exhibits a rather larger number of<br />

degrees of freedom. However, the advantage of this approach is<br />

that it can be tailored to the topography of the real structure, i.e.<br />

take into account all perforations and – in the case of the<br />

considered switch – also locally varying gap heights which<br />

occur due to the elevated contact pads and electrode fingers.<br />

ambient pressure<br />

P 0<br />

moving<br />

plate<br />

{<br />

finite network<br />

R O<br />

R C<br />

R T<br />

fixed plate<br />

moving<br />

plate<br />

{<br />

finite network<br />

Figure 5. Illustration of the mixed-level model. Models for holes are<br />

embedded in the finite network solving the Reynolds equation. The resistor R T<br />

models the region, where the fluid enters the channel. The resistor R C models<br />

the channel resistance; R O models the orifice flow [5,6].<br />

The mechanical contact that occurs, when the switch is<br />

closed, is included into the mixed-level model by adding<br />

contact forces at the respective locations above the contact<br />

pads. The modal formulation of these forces reads as follows:<br />

12<br />

⎧<br />

⎪<br />

( )<br />

∑ φpad , n<br />

⋅kcontact , n<br />

⋅gn ( q) if gn<br />

( q)<br />

≤0<br />

Fcontact, total,<br />

i<br />

q = ⎨ (1)<br />

n=<br />

1<br />

⎪⎩ 0 else<br />

k<br />

contact,<br />

n<br />

the lumped contact stiffness of the n-th pad and gn<br />

( )<br />

q the<br />

locally averaged displacement at the n-th pad.<br />

This model enables to simulate also bouncing during the<br />

landing phase of the membrane. In order to avoid numerically<br />

undesired discontinuities resulting from the if-then-else<br />

construct proposed in previous work [7], we now use a<br />

function Θ<br />

n<br />

based on the tanh-function instead, in order to<br />

implement a more stable transition into the contact state:<br />

⎛ ⎛ gn<br />

Θ<br />

n ( q)<br />

= 0.5⋅⎜1−tanh<br />

⎜<br />

⎜ ⎜ β<br />

⎝ ⎝<br />

( q)<br />

⎞⎞<br />

⎟⎟<br />

⎟⎟<br />

⎠⎠<br />

β denotes a parameter controlling the smoothness of this<br />

transition. The complete contact formulation then reads:<br />

12<br />

( ) = Θ ( ) ⋅φ<br />

⋅ ⋅ ( )<br />

F q ∑ q k g q (3)<br />

contact , total , i n pad , n contact , n n<br />

n=<br />

1<br />

The compact model of the entire switch is then assembled<br />

by formulating all submodels in terms of the modal amplitudes<br />

and combining them with the mechanical submodel:<br />

2 7<br />

2 Vb<br />

∂Ck( q)<br />

T<br />

i<br />

+ ωi i<br />

= ∑ + θi 2 k = 1 ∂qi<br />

<br />

ext, i<br />

<br />

0<br />

F el<br />

q<br />

q F ( qq , , p)<br />

Here, q i and ω i denote the amplitude and the frequency of<br />

the i-th eigenmode, θ i<br />

denotes the vector of the respective<br />

discretized mode shape, Ck<br />

( q ) stands for the capacitance of<br />

the k-th electrode finger and V k for the respective applied<br />

voltage. F ext represents the vector of external forces comprising<br />

in this case the models for damping and contact forces.<br />

Finally, the derived macromodels of the subsystems are<br />

formulated in terms of conjugated variables (”across”- and<br />

”through”-variables) and interlinked to form a generalized<br />

Kirchhoffian network, which inherently governs the exchange<br />

of energy and other physical quantities through Kirchhoff’s<br />

laws and can be implemented easily in any standard system<br />

simulator of an IC framework (in this work: Spectre from the<br />

Cadence IC design suite).<br />

IV. EXPERIMENTAL VALIDATION OF SIMULATED RESULTS<br />

The macromodel was evaluated w.r.t. measurements<br />

performed with a laser Doppler vibrometer (LV) and a white<br />

light interferometer (WLI). A pressure chamber as depicted in<br />

fig. 3 was used to enable measurements at different pressure<br />

levels.<br />

First, the combined electro-mechanical model was validated<br />

against the quasi-statically measured pull-in/pull-out<br />

characteristic of the membrane (see Fig. 6). It shows good<br />

agreement with the pull-in characteristic, but yields an<br />

incorrect pull-out voltage. Since the electromechanical model<br />

works quite accurately for the pull-in curve, this discrepancy is<br />

(2)<br />

(4)<br />

10


most likely due to not yet considered adhesion forces and other<br />

contact-related phenomena.<br />

Displacement [μm]<br />

0.4<br />

0.2<br />

0<br />

-0.2<br />

-0.4<br />

-0.6<br />

-0.8<br />

-1<br />

-1.2<br />

Measurement<br />

-1.4<br />

MLM<br />

-1.6<br />

-1.8<br />

0 5 10 15 20 25 30<br />

Voltage [V]<br />

Figure 6. Measured and simulated quasi-static pull-in/-out characteristics of<br />

the membrane. The curves have been taken using the laser vibrometer and<br />

actuating the membrane electrostatically with a voltage of 70 V (peak to peak)<br />

of triangular wave form at a frequency of 1 Hz.<br />

Second, we evaluated the transients of the device at an<br />

ambient pressure ranging from 960 mbar to 1 mbar. Figure 7<br />

shows the measured and simulated response of the switch to a<br />

square wave voltage of 25 V, a voltage which is lower than the<br />

pull-in voltage. The membrane responds in the first half of the<br />

period (0..2.5ms) with a damped oscillation at a mean<br />

displacement of about 420nm. After voltage has been turned<br />

off (t > 2.5ms), it releases to its original position undergoing<br />

damped oscillations.<br />

0.4<br />

0.2<br />

Measurement<br />

MLM<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Additionally, we evaluated the limits of the fluidic damping<br />

model by extracting the quality factor Q as a measure for<br />

viscous damping from the transients recorded for varying<br />

ambient pressure values. Since for pressures lower than<br />

100 mbar the Q-factor is limited by another mechanism than<br />

squeeze film damping, we extracted the value Q LIMIT for this<br />

damping mechanism from experiments and added it to the Q-<br />

factor Q SQFD calculated from our mixed-level damping model<br />

according to<br />

Q TOTAL -1 = Q LIMIT<br />

-1<br />

+ Q SQFD<br />

-1<br />

Fig. 8 reveals that our model is in very good agreement –<br />

within an error of about 7% to 10% – to the measured data for<br />

moderately high pressure values from normal pressure down to<br />

about 100 mbar. Below pressures of about 100 mbar a 15%<br />

error limit between simulated and measured Q-values is<br />

exceeded. This is certainly due to the large variance of the<br />

correction factors accounting for gas rarefaction effects, which<br />

can be found in literature. Systematic investigations on<br />

dedicated test structures are focus of on-going work in order to<br />

get a better data base for the physical understanding of gas<br />

damping in this regime [9].<br />

Quality factor<br />

Q MLM<br />

Q MEAS<br />

(5)<br />

10 2 Pressure [mbar]<br />

Displacement [μm]<br />

0<br />

-0.2<br />

-0.4<br />

-0.6<br />

-0.8<br />

0 1 2 3 4 5<br />

Time [ms]<br />

Figure 7. Measured and simulated response of the membrane.<br />

Actuation: rectangular voltage waveform (200 Hz; amplitudes 25<br />

V (on) and 0 V (off)). Ambient pressure: 960 mbar.<br />

The very good agreement of simulation and measurement<br />

in the second half of the time period (2.5..5ms) proves the<br />

accuracy of the damping model, while the good agreement in<br />

the first half of the period proves that the model correctly<br />

reproduces the electrostatic spring softening, which essentially<br />

decreases the resonance frequency of the switch during<br />

actuation, and the increased damping due to the decreasing gap<br />

height. Models of switches without physically-based<br />

description of gas damping fail at this point [8].<br />

10 1 10 0 10 1 10 2 10 3<br />

Figure 8. Simulated and measured Q values calculated from the frequency<br />

spectrum using the half power method (“3dB bandwidth”). Remark: The<br />

measured sample exhibited an increased gap (plus about 300 nm ).<br />

In order to check the contact model, we actuated the<br />

device with a step voltage of 35 V, a voltage higher than the<br />

pull-in voltage (see fig. 9), in order to force the structure into<br />

contact and, subsequently, to release it again.<br />

Displacement [μm]<br />

1.4<br />

1<br />

0.6<br />

0.2<br />

-0.2<br />

-0.6<br />

-1<br />

-1.4<br />

Measurement<br />

MLM<br />

-1.8<br />

0 1 2 3 4 5<br />

Time [ms]<br />

Figure 9. Response of the membrane to a rectangular waveform (200Hz):<br />

amplitudes 35V (on) and 0V (off). Pull-in/contact occurs.<br />

11


A detailed analysis of the frequency spectrum of the<br />

landing phase (fig. 10) as well as of the release phase (with and<br />

without contact, i.e. actuation voltages of 35 V and 25 V,<br />

respectively, fig. 11) reveal that these phases are dominated by<br />

an intricate interplay of different mechanical vibrations.<br />

Peak-normalized<br />

amplidute (dB)<br />

Peak-normalized<br />

amplitude (db)<br />

0<br />

-10<br />

-20<br />

-30<br />

-40<br />

-50<br />

87 kHz 218 kHz<br />

-60<br />

0 50 100 150 200 250 300<br />

Frequency (kHz)<br />

Figure 10. Frequency spectrum of the measured landing phase of the<br />

membrane. Applied voltage 35V, frequency 250Hz.<br />

0<br />

-10<br />

-20<br />

-30<br />

-40<br />

-50<br />

14.7 kHz<br />

136 kHz<br />

35V (after pull-in)<br />

25V (no pull-in)<br />

-60<br />

0 50 100 150 200 250 300<br />

Frequency (kHz)<br />

Figure 11. Frequency spectrum of the measured release phase of the<br />

membrane. Applied voltage 35V and 25V, resp.; frequency 250Hz.<br />

The modes at 14.7 kHz and 136 kHz occurring during<br />

release after the membrane was in contact with the contact pads<br />

(see black curve in fig. 11) correspond to the natural<br />

eigenfrequencies of the membrane. The notable contribution of<br />

the mode at 136 kHz compared to the spectrum where no pullin<br />

occurred (blue curve in fig. 11) leads us to the assumption<br />

that kinetic energy of the fundamental mode is transferred to<br />

the next higher symmetric mode during impact. Analyzing the<br />

landing phase of the switch by FFT (fig. 10) gives evidence of<br />

several superimposed vibrations at higher frequencies (see two<br />

modes at 87 and 218 kHz), which are obviously involved in<br />

the contact process. The high frequencies are supposed to be<br />

due to the high contact stiffness which now couples with the<br />

stiffness of the suspended membrane. Thus, the contact<br />

physics can only be captured correctly, when multiple and also<br />

higher modes and the coupling between them are implemented<br />

in the macromodel. Fig. 12 (top) shows a zoom of the closing<br />

transient displayed in fig. 9, where only the first 100μs are<br />

shown. It can be observed that our simulation model captures<br />

the landing phase already remarkably well, while models of<br />

Iannacci [10] and the commercial simulation tool Architect3D<br />

[11] that have been applied for benchmarking cannot<br />

reproduce the landing phase as well and, in particular, the<br />

Architect3D model overestimates the closing time<br />

considerably (see fig. 12, bottom). We assume that the good<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

agreement of our model is due to the fact that the mechanical<br />

part of our model, by its nature, already includes different (and<br />

also higher) mechanical eigenmodes.<br />

Displacement [μm]<br />

Displacement [μm]<br />

0<br />

-0.2<br />

-0.4<br />

-0.6<br />

-0.8<br />

-1<br />

-1.2<br />

-1.4<br />

-1.6<br />

Measurement<br />

MLM<br />

-1.8<br />

0 10 20 30 40 50 60<br />

Time [μs]<br />

0<br />

-0.2<br />

-0.4<br />

-0.6<br />

-0.8<br />

-1<br />

-1.2<br />

-1.4<br />

-1.6<br />

Measurement<br />

Architect3D<br />

Iannacci<br />

-1.8<br />

0 20 40 60 80 100<br />

Time [μs]<br />

Figure 12. Top: Zoom of the closing transient of fig. 9. Only the first 60μs are<br />

shown. The measurement is compared with simulated data from the MLM<br />

model. Bottom: Zoom of the closing transient of fig. 9. Only the first 100μs<br />

are shown and compared to two alternative macromodels (Iannacci[10] and<br />

Architect3D[11]), which have been applied for benachmarking.<br />

V. CONCLUSIONS<br />

We presented a physics-based multi-energy domain<br />

macromodel for an electrostatically actuated RF MEMS switch<br />

working under ambient pressure. It shows very good agreement<br />

with the measured quasi-static pull-in characteristic, with the<br />

non-contact transient measurements for ambient pressures<br />

down to 100 mbar and the pull-out transient after contact.<br />

However, the macromodel fails in reproducing the quasi-static<br />

pull-out characteristic and the contact phase properly. A FFT of<br />

the measured transients revealed that during impact of the<br />

membrane multiple structural modes are involved so that this<br />

phase can only be captured correctly by taking them and their<br />

interactions properly into account. Obviously, standard contact<br />

models are not yet accurate enough to reproduce this phase<br />

correctly. However, it showed that a mechanical model based<br />

on modal superposition techniques, i.e. a model where, by its<br />

nature, several eigenmodes are included, reproduces the<br />

landing phase best compared to other standard models. A<br />

sensitivity analysis showed that, together with the proper<br />

12


11-13 <br />

May 2011, Aix-en-Provence, France<br />

description of the contact phase, accurate, physics-based<br />

<br />

damping models are a prerequisite for reliable and predictive<br />

modeling of MEMS actuators. An on-going comparative study<br />

given in [9] demonstrates this impressively. Future research<br />

will therefore focus on the physics during the impact of the<br />

membrane and how it can be captured in a system-level<br />

macromodel. Additionally, systematic experimental and<br />

theoretical investigations on viscous damping in the rarefied<br />

gas regime have to be carried out in order to gain a better data<br />

base and, thus, a better understanding of the underlying physics<br />

in order to include this effect correctly in our models.<br />

[1] J. Iannacci, F. Giacomozzi, S. Colpo, B. Margesin and M. Bartek,<br />

“A General Purpose Reconfigurable MEMS-Based Attenuator for<br />

Radio Frequency and Microwave Applications”, in Conf. Proc. of<br />

EUROCON, 2009, pp: 1201-1209<br />

[2] G. Schrag, R. Khaliliyulin, M. Niessner, and G. Wachutka,<br />

“Hierarchical Modeling Approach for Full-System Design and<br />

control of Microelectromechanical Systems,” in Conf. Proc. of<br />

Eurosensors XXII, 2008, pp. 528-531.<br />

[3] L. Gabbay, J. Mehner, and S. Senturia, “Computer-aided<br />

generation of reducedorder dynamic macromodels - I:<br />

Geometrically linear motion,” J. Microelectromechanical Systems,<br />

vol. 9, 2000, pp. 262–269.<br />

[4] Schrag G., and Wachutka G., “Physically based modeling of<br />

squeeze film damping by mixed-level system simulation,” Sensors<br />

and Actuators A, vol. 97-98; 2002 , pp. 193–200.<br />

[5] R. Sattler, “Physikalisch basierte Mixed-Level Modellierung von<br />

gedämpften elektromechanischen Systemen“, Shaker Verlag,<br />

Aachen, 2007.<br />

[6] M. Niessner, et al., “Experimentally validated and automatically<br />

generated multi-energy domain coupled model of a RF-MEMS<br />

switch”, Proc. EuroSimE 2009, Delft, NL, April 27-29, 2009, pp.<br />

595-600.<br />

[7] M. Niessner, G. Schrag, G. Wachtuka and J. Iannacci, “Modeling<br />

and fast simulation of RF-MEMS switches within standard IC<br />

design framework,” Proc. SISPAD 2010, Bologna, Italy,<br />

September 6-8, 317-320 (2010).<br />

[8] L. del Tin, et al., Digest Tech. Papers of 14 th Int. Conf. on Solidstate<br />

Sensors, Actuators and Microsystems (Transducers’07),<br />

Lyon, June 10-14, pp.635-638, 2007..<br />

[9] M. Niessner, G. Schrag, J. Iannacci, G. Wachutka, “Mixed-level<br />

modeling of squeeze film damping in MEMS: Simulation and<br />

pressure-dependent experimental validation, accepted for<br />

publication at 16 th Int. Conf. on Solid-state Sensors, Actuators and<br />

Microsystems (Transducers’11), Beijing, China, June 5-9, 2011.<br />

[10] J. Iannacci, R. Gaddi and A. Gnudi,, “Experimental Validation of<br />

Mixed Electromechanical and Electromagnetic Modeling of RF-<br />

MEMS Devices Within a Standard IC Simulation Environment,”<br />

Journal of Microelectromechanical Systems 19 (3), 526-537<br />

(2010).<br />

[11] Coventor, Inc. [Coventorware Architect Version 2008.10<br />

Reference] (2008).<br />

13


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Investigation on the effect of geometrical dimensions<br />

on the conductive behaviour of a MEMS convective<br />

accelerometer<br />

A.A. Rekik 1,2 , B. Mezghani 2 , F. Azaïs 1 , N. Dumas 1 , M. Masmoudi 2 , F. Mailly 1 , P. Nouet 1<br />

1 LIRMM - CNRS/Univ. Montpellier 2 - 161 rue Ada, 34095 Montpellier, France<br />

2 ENIS - University of Sfax - Route Soukra, BP 1173 3038 Sfax, Tunisia<br />

Abstract— This paper presents an investigation on the effect<br />

of geometrical dimensions on the conductive behaviour of a<br />

CMOS MEMS convective accelerometer. Numerous FEM<br />

simulations are conducted to prove the validity of a previously<br />

developed model. This model was firstly developed to<br />

represent the effect of only one geometrical parameter; the<br />

etched cavity depth. We prove here that this model may<br />

represent also the effect of other geometrical parameters, the<br />

cavity half-width and the package height, on the conductive<br />

behaviour of the sensor.<br />

Keywords— MEMS, convective accelerometer, heat<br />

conduction, modelling.<br />

I. INTRODUCTION<br />

CMOS MEMS convective accelerometer is one example<br />

of a monolithically integrated sensor system. Such<br />

accelerometers present several advantages compared to the<br />

commonly used capacitive ones [1-2]. In addition to low<br />

cost batch fabrication, convective accelerometers are able to<br />

survive and sense very high shocks [3]. Mechanical rigidity<br />

and low mass are the main reasons that insure robustness to<br />

large accelerations. Unfortunately, and as it is generally the<br />

case for monolithic structures, strict requirements for<br />

process compatibility usually limit the performance level<br />

and potential applications [4-5]. To take advantage of the<br />

benefit of such a sensor, one use a system level design<br />

approach that requires an accurate and complete model of<br />

the sensor. This is considered as a vital and important step<br />

to help in predicting and/or increasing the overall system<br />

performance. One possible strategy is then to optimize the<br />

sensor dimensions to cope with the specifications and to<br />

adapt the global architecture accordingly.<br />

Existing models allow understanding the behaviour of a<br />

given sensor or studying geometry variations in a single<br />

dimension [6]. For multiple parameter variations, evaluation<br />

of geometrical and material properties in convective<br />

accelerometers is only possible through Finite Element<br />

Modelling (FEM) [7-8], which is very time consuming and<br />

meaningless. At the contrary, compact models allow a quick<br />

exploration of broad design spaces and a physical<br />

understanding of parameter influences. In a previous work<br />

[9], we have proposed a sensor model in which physicallybased<br />

expressions were developed to describe the<br />

conductive behaviour of a thermal accelerometer. This<br />

preliminary model was only including the effect of a single<br />

geometrical parameter (the depth of the bottom cavity, h 1 in<br />

Fig.1) since this parameter is the most difficult to control; it<br />

depends on the concentration of the etching solution and on<br />

the etching time. Initially developed to validate test<br />

methods, this model was then considered to validate system<br />

level architectures. Additional geometrical parameters<br />

appeared to be critical parameters for the design of the<br />

sensor. Therefore, the impact of these parameters has been<br />

investigated and a fully parameterized model is today<br />

introduced in this paper.<br />

In section 2, we briefly describe the accelerometer. In<br />

section 3, we present the previously published model of the<br />

sensor and we focus on the conduction part (heater source<br />

and common mode). Using FEM simulations, the effect of<br />

sensor dimensions on the conductive behaviour of the<br />

device is investigated in section 4. Finally, the proposed<br />

model validity is demonstrated over a large range of<br />

geometrical dimensions.<br />

II. ACCELEROMETER PRESENTATION<br />

The device under study is a convective accelerometer<br />

obtained by Front-Side Bulk Micromachining (FSBM) of a<br />

CMOS die fabricated in a 0.8 µm technology from Austria<br />

Microsystems® (Fig.1). Main lateral dimensions are the<br />

half-width of both the heater beam (r 1 ) and the cavity (r 2 ),<br />

and the distance between the heater and one detector (d).<br />

The three thin bridges are composed of the CMOS process<br />

back-end layers (oxide, polysilicon, aluminium, and<br />

nitride). In particular, polysilicon is used to implement<br />

resistors, for both heating (R H ) and temperature sensing<br />

(R D1 , R D2 ). The heater R H is powered by an electrical voltage<br />

(U H ) to create a temperature gradient in the bottom (i.e.<br />

etched silicon) and top (i.e. package) cavities: the<br />

temperature is then maximum at the heater location and<br />

minimum at the cavity boundaries.<br />

In absence of acceleration, the temperature detectors (R D1 ,<br />

R D2 ) are located on an identical isotherm for symmetry<br />

reasons. Under acceleration along the AA’-axis, the free<br />

convection deforms the cavity temperature distribution so<br />

14


that detectors may measure the differential temperature.<br />

Indeed, polysilicon resistivity exhibits high temperature<br />

dependence (Temperature Coefficient of Resistance,<br />

TCR=9×10 -4 /°C) and the thermal signal is easily converted<br />

into a voltage by means of a Wheatstone bridge. This<br />

voltage is then amplified by an instrumentation amplifier.<br />

For more details on sensor manufacturing and<br />

characterization, please refer to previous works from some<br />

of the authors [8-11].<br />

Heater<br />

Detectors<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

The model is composed of four main blocks (Fig.2). First,<br />

the heater temperature (T H ) is calculated from the external<br />

power supply (U H ). Then, the common mode temperature is<br />

calculated from the heater temperature. The heater<br />

temperature (T H ) and the common mode temperature (T CM )<br />

are governed by the heat conduction in the fluid. Regarding<br />

fluid convection, the differential temperature (ΔT D ), resulting<br />

from acceleration, is calculated with an empirical expression<br />

that involves fitting parameters. Finally, regarding<br />

transduction, both detector resistances (R Di ) are deduced<br />

from their TCR factor.<br />

In this paper, we will focus on the conduction part only<br />

(heater and common mode).<br />

Amplifier A1<br />

Sensing<br />

direction<br />

(AA)<br />

A. Heater source<br />

During normal operation, the heating bridge is powered by<br />

a DC voltage (U H ) in order to set an initial temperature<br />

distribution in the cavity. The average heater temperature<br />

(T H ) is directly linked to the electrical power (P H ) with a<br />

linear relationship:<br />

Figure 1. SEM picture of the prototype and geometrical parameters:<br />

r 1=20μm, r 2=350μm, d= 125μm, h 1=300μm, h 2=1000μm.<br />

III. ACCELEROMETER MODEL<br />

For defect simulations, a previously developed high level<br />

model [11] has been improved to include the effect of<br />

etching defects [9]. This model only takes into account the<br />

effect of the bottom cavity depth h 1 . No other sensor<br />

dimensions were included. In addition, this model was<br />

developed for fixed values of cavity half-width (r 2 =350µm)<br />

and package height (h 2 =10mm).<br />

T<br />

T<br />

Rth<br />

P<br />

T<br />

Rth<br />

2<br />

UH<br />

HAHHA<br />

⋅+=⋅+<br />

(1)<br />

RH<br />

where T A is the ambient temperature, R H is the electrical<br />

resistance of the beam and Rth H is the thermal resistance of<br />

the heater beam.<br />

The temperature dependence of the electrical resistance is<br />

taken into account in the power dissipation with:<br />

R<br />

R<br />

( 1 TCR T )<br />

Δ ⋅+=<br />

(2)<br />

0 HH<br />

where TCR is the temperature coefficient given by the<br />

foundry and R H0 is the nominal value of the heater resistance<br />

at a reference temperature T 0 . This electrical resistance is<br />

obviously independent of the cavity depth but only depends<br />

on the heating resistance size and material properties.<br />

In contrast, the thermal resistance of the beam depends not<br />

only on the beam dimension but also on its geometrical<br />

environment:<br />

Rth<br />

H<br />

=<br />

1<br />

⋅ Sh<br />

where S=54.7×10 3 µm² denotes the exchange surface<br />

between the beam and the fluid given by 2(2r 1 +e)L, with L<br />

the beam length and e the beam thickness.<br />

The heat transfer coefficient h H depends on the heater<br />

temperature, the ambient temperature, the geometry of the<br />

heater beam and the geometry of its environment.<br />

Assuming a cylindrical heater with a radius R 1 at a<br />

temperature T H surrounded by a cylindrical cavity with a<br />

radius R 2 at a temperature T A , the heat transfer coefficient h H<br />

is given by [9]:<br />

H<br />

(3)<br />

Figure 2. Block diagram of the sensor model.<br />

h<br />

H<br />

=<br />

1<br />

(4)<br />

⎛<br />

2 2<br />

3 3<br />

4 4<br />

λ<br />

⎞<br />

0 ⎜<br />

δ H1 −TT<br />

A δ H2 −TT<br />

A δ3<br />

H −TT<br />

A<br />

1+⋅<br />

+ ⋅ + ⋅ ⎟<br />

⎛ R ⎞<br />

⎝<br />

2 − AH 3TT<br />

− AH 4TT<br />

−<br />

AH<br />

⋅<br />

⎠<br />

⎜<br />

2<br />

l ⎟ nR<br />

⎝ R1<br />

⎠<br />

15


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

where λ 0 =-3.9333×10 -4 Wm -1 K -1 is the air conductivity<br />

extrapolated at 0K. The three parameters δ 1 =-0.2589 Wm -1 K -<br />

2 , δ 2 =1.2349×10 -4 Wm -1 K -3 and δ 3 =-3.8662×10 -8 Wm -1 K -4<br />

represent the coefficients of thermal conductivity variation<br />

for the air. We have shown in [9] that, for the complex<br />

geometry of our accelerometer, expression 4 is still valid if:<br />

• R 1 is a trade-off between the radius of a cylinder with<br />

a perimeter equivalent to the heater bridge and the radius of<br />

a cylinder that contains the heater beam.<br />

• R 2 is a function of the bottom cavity depth h 1 :<br />

h<br />

rR ⋅=<br />

(5)<br />

1<br />

22<br />

4<br />

4 ⎛ r<br />

4<br />

2 ⎞<br />

h1<br />

+ ⎜<br />

⎝ 2 ⎟ ⎠<br />

where the polynomial degree and the root order were<br />

chosen to obtain the best fit in two regions : a linear one<br />

obtained for low values of h 1 and a saturation region at r 2<br />

obtained for high values of h 1 . This model was developed for<br />

fixed values of r 2 and h 2 (350µm and 10mm, respectively).<br />

Also, it is found that the transition distance between the two<br />

regions seemed to be equal to r 2 /2. However, a parametric<br />

study on r 2 and h 2 should be conducted to confirm that it was<br />

not only a coincidence.<br />

B. Common mode<br />

Considering the same cylindrical geometry assumption,<br />

the relationship between the common mode temperature<br />

T CM , at a distance d from the center (R 1


2) Cavity width effect<br />

To verify the influence of the cavity half-width r 2 on the<br />

conductive behaviour of the sensor, a FEM simulation is<br />

performed for a new set of parameters: r 2 =700µm,<br />

h 1 =600µm, h 2 =10mm and T H =600K. The heat transfer<br />

coefficient h H and the common mode temperature T CM are<br />

extracted from this simulation and compared with the results<br />

obtained from expressions 4, 5, 6 and 7. The obtained FEM<br />

and model values are given in table II, which shows that the<br />

results are approximately equal.<br />

TABLE II. MODEL AND FEM RESULTS OF THE HEAT TRANSFER<br />

COEFFICIENT AND THE COMMON MODE TEMPERATURE<br />

r 2=700µm, h 1=600µm, h 2=10mm, T H=600K<br />

Conduction Model FEM<br />

h H (W.m -2 .K -1 ) 612 616<br />

T CM (K) 369 371<br />

Therefore, it seems that the model is valid when r 2 varies<br />

but it should be verified further with other sets of<br />

parameters.<br />

3) Model validity using FEM simulations<br />

(a)<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

heater temperature may be strongly impacted for a given<br />

biasing voltage.<br />

In Figure 4, we plot the heat transfer coefficient h H (Fig.<br />

4.a) and the common mode temperature T CM (Fig. 4.b)<br />

extracted from FEM simulations versus those calculated<br />

from the model. We clearly notice that the model and FEM<br />

results are in good agreement. In both figures, the points<br />

which diverge from the ideal curve correspond to very low<br />

values of the cavity depth h 1 0.2r 2 ), the relative error between the model and<br />

FEM results remains below 4%. To conclude, the validity<br />

domain of the model is then verified for 200µm


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Design and Simulation of an On-chip Oversampling<br />

Converter with a CMOS-MEMS Differential<br />

Capacitive Sensor<br />

Abstract- This paper presents the design and analysis of an<br />

integrated oversampling converter with MEMS capacitive<br />

sensor. The MEMS capacitive sensor is a comb-drive which<br />

provides change in capacitance when change in acceleration is<br />

detected. The analog-to-digital converter (ADC) is a first-order<br />

1-bit sigma-delta (Σ-Δ) converter. Σ-Δ ADCs are suitable for<br />

MEMS sensors since their output voltage are in mV and are low<br />

frequencies. Both the Σ-Δ ADC and MEMS capacitive sensor<br />

were designed in Silterra’s 0.13μm CMOS process. Simulation<br />

of the Σ-Δ ADC was conducted using Cadence TM Spectre, while<br />

the MEMS sensor was simulated by COMSOL Multiphysics ® .<br />

Results indicate that the Σ-Δ ADC can process small voltage<br />

output of the MEMS sensor and convert it into digital signals<br />

satisfactorily.<br />

Ma Li Ya, Anis Nurashikin Nordin, Sheroz Khan<br />

Department of Electrical and Computer Engineering<br />

International Islamic University Malaysia<br />

Jalan Gombak 53100, Kuala Lumpur, Malaysia<br />

I. INTRODUCTION<br />

With the development of the circuit and fabrication<br />

technology, great progress has been made in the effort to<br />

integrate MEMS devices with Integrated Circuit (IC)<br />

together on the same chip. Most of the MEMS sensors on the<br />

market can be divided into two groups; piezoresistive<br />

solutions and, the most used solution today, capacitive<br />

solutions [1]. The accelerometer sensor, for example, detects<br />

changes in acceleration and reflects it as capacitance.<br />

Low-cost and small-footprint MEMS accelerometers with<br />

high sensitivity, high resolution, and low power consumption<br />

are required for applications ranging from GPS-augmented<br />

inertial navigation systems to guidance and stabilization of<br />

satellites and spacecrafts [2]. Fig.1 illustrates accelerometer<br />

sensors in the range of different acceleration and bandwidth.<br />

Most acceleration sensors operate in low frequency with<br />

small acceleration values. This requires a very high<br />

resolution interface circuit to process such signals. The<br />

oversampling Σ-Δ modulator is extremely suitable for low<br />

frequency; weak input applications [3], as shown in Table I.<br />

This paper presents a first-order Σ-Δ converter with a<br />

CMOS-MEMS differential capacitive sensor designed on the<br />

same chip using Silterra 0.13μm CMOS process technology.<br />

Section II describes the MEMS capacitive sensor’s design.<br />

Section III illustrates the design of first-order Σ-Δ converter.<br />

Section IV displays the simulation results and frequency<br />

domain analysis.<br />

Fig.1. Accelerometer sensors with different range of acceleration and<br />

bandwidth [4].<br />

II. DESIGN OF CMOS-MEMS DIFFERENTIAL CAPACITIVE<br />

SENSOR<br />

Fig.2 shows a simplified schematic of a differential<br />

capacitive sensor which is under (a) zero acceleration and (b)<br />

non zero acceleration conditions. A differential capacitive<br />

sensor is used to minimize the systematic offset, improve<br />

power supply rejection and reduce drift of the sensor. This<br />

accelerometer consists of a moveable proof mass and two<br />

fixed parts. The proof mass is made by the Ultra Thick Metal<br />

(UTM) of copper in Silterra 0.13μm CMOS process, and it is<br />

suspended on the substrate using four tethers. The four<br />

TABLE I<br />

SIGNAL BANDWIDTH AND CONVERSION RESOLUTION TRADEOFF<br />

ADC<br />

Applications<br />

Conversion<br />

Resolution<br />

High<br />

Middle<br />

Low<br />

Sub<br />

lower<br />

Signal Bandwidth<br />

Low Middle High<br />

Sigma-Delta<br />

Successive<br />

Approximation<br />

Sub ranging /<br />

Pipelined<br />

Flash<br />

18


11-13 May 2011, Aix-en-Provence, France<br />

<br />

TABLE II<br />

ACCELEROMETER SPECIFICATIONS<br />

Model’s total dimensions 370μm×414μm×3.5μm<br />

Proof mass<br />

1.2μg<br />

Number of finger pairs 50<br />

The range of Δd<br />

-1.5μm~1.5μm<br />

The range of acceleration -3g~3g (1g=9.8m/s 2 )<br />

The range of capacitive change 132.81fF~929.67fF<br />

C + = n⋅[ε 0 ε r A/(d 0 -Δd)] (4)<br />

C - = n⋅[ε 0 ε r A/(d 0 +Δd)] (5)<br />

where, n is the number of the finger pairs;<br />

ε 0 = 8.854×10 −12 F m –1 is the electric constant;<br />

ε r = 1 is the dielectric constant of the material<br />

between the plates;<br />

A is the area of the overlapping of the two plates;<br />

d 0 = 2μm is the distance of two plates when there’s<br />

no acceleration existing.<br />

Fig.2. The simplified schematic of a differential capacitive model, (a)<br />

acceleration is zero (a=0); (b) acceleration is non zero (a>0); (c) the<br />

structures of four tethers.<br />

tethers work like mechanical springs. When the substrate<br />

undergoes any external acceleration (a) in its sense direction<br />

(for this model is horizontal direction), the proof-mass exerts<br />

a force (F) on the suspension, according to Newton second<br />

law. At the same time, for frequencies below the mechanical<br />

resonance of the spring-mass system, this force causes the<br />

suspension to deflect a distance (Δd), according to Hooke’s<br />

law. The relationship of these values can be showed using<br />

following (1) and (2).<br />

F = ma (1)<br />

where, m is the total mass of the proof;<br />

a is the external acceleration;<br />

F is the force of the proof generated.<br />

Δd = F/k = ma/k = a(1/ω 2 n ) (2)<br />

where, Δd is the distance for proof-mass moving;<br />

k is the overall spring constant;<br />

ω n is the natural frequency of the sensor in the<br />

direction of applied acceleration.<br />

The overall spring constant is,<br />

k = x⋅(12EI/L 3 ) = x⋅(Ewt 3 /L 3 ) (3)<br />

where, x = 4 is the number of the tethers;<br />

E is the Young’s modulus of UTM;<br />

w = 3.5μm is the width of the tether;<br />

t = 2μm is the thickness of the tether;<br />

L = 170μm is the length of the tether.<br />

The equivalent circuit of the differential capacitive sensor<br />

for the MEMS model is shown in Fig.3. Table II describes<br />

the specifications of this accelerometer. The values of these<br />

two capacitors can be derived from the distance changing of<br />

Δd, as (4) and (5) shown.<br />

III.<br />

DESIGN OF A SIGMA-DELTA ANALOG-TO-DIGITAL<br />

CONVERTER (Σ-Δ ADC)<br />

A. Overall Design<br />

Fig.4 demonstrates the whole system block diagram. This<br />

CMOS monolithic chip mainly contains two parts, sensor<br />

and interface circuit. The sensor circuit converts the physical<br />

parameter to an analog signal, which is sent to the ADC. The<br />

CMOS monolithic chip provides a 1-bit digital output which<br />

is fit for signal processing or transmission. In order to make<br />

design simple, low cost, and high resolution, first-order<br />

sigma-delta analog-to-digital converter was chosen as the<br />

interface circuit.<br />

The circuit level design is presenting in Fig.5. The MEMS<br />

sensor part is represented as two sensor capacitances C + and<br />

C - which are both in the range of pF or fF. The sensor circuit<br />

converts the sensing capacitances to analog voltage, as<br />

described in (6) below.<br />

V a = V 1 [(C + -C - )/(C + +C - )] = V 1 (Δd/d 0 ) (6)<br />

where, V 1 is the amplitude of the sinusoid.<br />

Fig.4. Integrated micro system block diagram.<br />

Fig.3. The equivalent circuit of differential capacitive sensor.<br />

Fig.5. The whole chip circuit design.<br />

19


B. First-order Σ-Δ ADC Design<br />

The term of Σ-∆ ADC has become almost synonymous<br />

with noise shaping ADC. Oversampling reduces the<br />

quantization noise power in the signal bandwidth by<br />

spreading the quantization noise power over a larger<br />

frequency range [5]. Noise shaping attenuates this noise in<br />

the signal bandwidth and amplifies it outside of the signal<br />

bandwidth. A low-pass filter is used to attenuate the<br />

out-of-band quantization noise. A down sampling circuit is<br />

added to obtain the Nyquist rate output.<br />

As shown in Fig.5, the first-order Σ-∆ ADC consists of a<br />

discrete-time integrator, a 1-bit ADC (comparator), a D<br />

flip-flop, and a 1-bit digital-to-analog converter (DAC) in the<br />

feedback path. Here, the internal ADC and DAC are both low<br />

resolution. Insertion of a buffer in series with a comparator is<br />

done in order to make the D flip-flop work properly.<br />

The analog signal processing circuit comprises of<br />

switch-capacitor circuits [6]. In comparison with the<br />

continuous time circuits consisting of resistors, capacitors<br />

and op amp [7], this technique produces a more accurate<br />

frequency response, good linearity and dynamic range. The<br />

most important component which is displayed in Fig.6 is the<br />

two-stage op amp. Table III describes the op amp design<br />

specifications while Table IV summarizes the op amp<br />

simulation results. The design of comparator is almost same<br />

as the op amp, except there’s no compensation capacitor<br />

between differential stage and inverter stage.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

TABLE IV<br />

THE OP AMP DESIGN RESULTS<br />

Transistor M1 M2 M3 M4 M5 M6 M7 M8<br />

(W/L) 36 36 6 6 39.38 39.6 107.8 78.77<br />

Component I dc C c<br />

Value 80μA 8pF<br />

IV.<br />

SIMULATION AND RESULTS ANALYSIS<br />

A. MEMS Capacitive Sensor Simulation<br />

The CMOS-MEMS differential capacitive sensor was<br />

simulated using COMSOL Multiphysics ® , and the 3D model<br />

is presented in Fig.7.<br />

Equations (4) and (5) provide the relationship between the<br />

distance changes (Δd) and the values of differential<br />

capacitors; and the simulated results are shown in Fig.8. It<br />

can be observed that the distance changes of within 1.5μm<br />

causes the capacitance to change in hundredfold of fF. The<br />

changing output voltage however, is linear to the sensing<br />

displacement of as shown in Fig.9.<br />

Fig.7. The CMOS-MEMS differential capacitive model in 3D.<br />

Fig.6. The schematic of two-stage op amp.<br />

Specification<br />

TABLE III<br />

THE OP AMP DESIGN SPECIFICATIONS<br />

Power Band Phase<br />

Supply Width Margin<br />

Open<br />

Loop Gain<br />

Design Value ±1.2V 10kHz ≥75° ≥100<br />

Input<br />

Slew Settling<br />

Specification Signal<br />

Rate Time<br />

Range<br />

Minimum<br />

Length of<br />

Transistor<br />

Design Value -0.7V~0.7V 4.45μs ≤1kΩ 130nm<br />

Fig.8. Calculated and simulated results for the differential capacitive sensor.<br />

20


Fig.9. Capacitive sensor output, Va as the function of the sensing distance,<br />

Δd.<br />

B. First-Order Σ-∆ Converter Simulation<br />

The overall design of the Σ-∆ ADC shown was simulated<br />

using Cadence TM Spectre. The input test analog signal is a<br />

100mV, 500 Hz sinusoid with the oversampling frequency is<br />

160 kHz. The oversampling ratio (OSR) is 160 and the<br />

output resolution is around 10 and the dynamic range is over<br />

60dB. Fig. 10 illustrates the waveforms of each stage output.<br />

C. Results Analysis<br />

Normally there are two ways to test the output of ADC: i)<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

using an ideal DAC to convert the digital signal back to an<br />

analog signal and compare it with the original one, however<br />

this requires to design another very high resolution DAC; ii)<br />

the most popular method is using fast Fourier-transform<br />

(FFT) to analysis the output signals in frequency domain.<br />

FFT is commonly used to estimate the power spectral<br />

density (PSD) of Σ-∆ converter. Data obtained from Cadence<br />

circuit simulation is transferred to MATLAB. This is done<br />

for signal processing analysis of the final output of the signal.<br />

Fig. 11 shows the low frequency portion (0 to 10 kHz) of an<br />

FFT based PSD estimate of the output with a sinusoidal input<br />

frequency of 500Hz and f s of 160 kHz. The spectrum of Fig.<br />

11 (a) consists of one large spike representing the input<br />

signal sine wave, plus many smaller spikes distributed out of<br />

the base-band frequency along the frequency axis,<br />

representing white noise. The PSD of the output digital<br />

signal is shown in Fig. 11 (b). It demonstrates that this<br />

designed first-order sigma-delta converter really does shape<br />

quantization noise. From this figure it can be seen that the<br />

frequency of the largest spike signal is still 500Hz, which<br />

represents the input signal. The following smaller signals are<br />

the quantization errors; and their amplitudes are obviously<br />

lower than the main signal. The Σ-Δ converter performs<br />

noise-shaping and forces all the quantization errors to be<br />

outside the frequency of the base band signal.<br />

l<br />

Fig.10. Each stage output of the first-order Σ-∆ ADC.<br />

21


(a)<br />

PSD of the input signal.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

Anis Nurashikin Nordin received the B. Eng. Degree in Computer and<br />

Information Engineering from the International Islamic University Malaysia<br />

(IIUM), Kuala Lumpur, Malaysia in 1999, and the M.S degree in Computer<br />

Engineering from the George Washington University (GWU), Washington<br />

DC, in 2002, and the D. Sc. Degree in Electrical and Computer Engineering<br />

at GWU. Currently, she is a lecturer at International Islamic University<br />

Malaysia (IIUM).Her main research interests are VLSI.<br />

Sheroz Khan is currently working as a faculty member within the<br />

Department of Electrical and Computer Engineering, here at the<br />

International Islamic University Malaysia since January 2002. At the<br />

moment he is leading a research group, called Wireless Communication and<br />

Signal Processing where a group of over twenty research students working<br />

for their M Sc/Ph Ds under the supervision of qualified colleagues as faculty<br />

members. Sheroz Khan's areas of interest include sensors and transducer<br />

interfacing, electronic instrumentation, embedded systems. He has worked<br />

on UWB signals generation, shaping and modulation. Also, his interests<br />

include those for biomedical medical electronic application, including areas<br />

such energy scavenging and devices such as smart and intelligent<br />

transducers designed and developed for contactless data acquisition from<br />

inaccessible points.<br />

ACKNOWLEDGMENT<br />

This work is supported by International Islamic University<br />

Malaysia’s Endowment Fund (EDW B 0905-304).<br />

(b)<br />

PSD of the output signal.<br />

Fig.11. The power spectral density of input and output signals.<br />

V. CONCLUSION<br />

This paper presents a design, simulation and analysis of an<br />

Σ-∆ interface circuit for a CMOS-MEMS differential<br />

capacitive sensor. The results demonstrate that the usage of<br />

an Σ-∆ modulator allows very weak analog signals to be<br />

converted to an extremely high resolution digital output. The<br />

usage of Silterra 0.13μm CMOS process allows the on-chip<br />

area to be kept to a minimum. This Σ-∆ modulator has an<br />

input signal frequency of 500 Hz, oversampling frequency of<br />

160 kHz, oversampling ratio (OSR) of 160; and<br />

signal-to-noise ratio of 62.98 dB.<br />

REFERENCES<br />

[1] Karianne Qysted and Dag T. Wisland, University of Olso, Dep. of<br />

Informations, Norway, “Piezoresistive CMOS-MEMS Pressure<br />

Sensor with Ring Oscillator Readout Including Δ-Σ<br />

Analog-to-Digital Converter On-chip”, IEEE Custom Integrated<br />

Circuits Conference, 2005.<br />

[2] Babak Vakili Amini, Student Member, IEEE, and Farrokh Ayazi,<br />

Member, IEEE, “A 2.5-V 14-bit ΣΔ CMOS SOI Capacitive<br />

Accelerometer”, IEEE Journal of Solid-state Circuits, Vol. 39, No.<br />

12, December 2004.<br />

[3] A. N. Nordin and M. Zaghloul, “CMOS design and implementation<br />

of sigma-delta analog-to-digital data converter suitable for MEMS<br />

devices”, 2003.<br />

[4] Bernhard E. Boser, “Surface Micromachining An IC-Compatible<br />

Sensor Technology”, Berkeley Sensor & Actuator Center Dept. of<br />

Electrical Engineering and Computer Sciences University of<br />

California, Berkeley.<br />

[5] Shlomo Engelberg, “Instrumentationnotes: Sigma-Delta<br />

Converters: Theory and Simulations,” IEEE Instrumentation &<br />

Measurement Magazine, pp. 49-53, December 2007.<br />

[6] E. Dallago, P. Malcovati, D. Miatton, T. Ungaretti, and G. Venchi,<br />

“Analysis of sigma-delta converter for MEMS sensors using power<br />

supply voltage as reference”, Circuits, Devices and Systems, IEE<br />

Proceedings -, vol. 153, pp. 473-479, 2006.<br />

[7] R. Jacob Baker, “CMOS Mixed-Signal Circuit Design”, second<br />

edition, IEEE Press, 2009, New York.<br />

VI. BRIEF BIOGRAPHY OF THE AUTHOR<br />

Ma Li Ya received the B. Eng Electronic and Information Engineering<br />

from Changchun University, Jilin Province, China in 2007 and currently<br />

working toward Master Degree in Electronic Engineering. Her main<br />

research interests are Mix-signal Integrated Circuit Design and MEMS. She<br />

currently involves in the design and simulation of analog-to-digital<br />

converter for low-frequency MEMS sensor applications.<br />

22


11-13 <br />

May, 2011, Aix-en-Provence, France<br />

<br />

Fabrication of High Aspect Ratio Nanoporous Array<br />

on Silicon<br />

Jing-Yu Ho 1 1, 2*<br />

and Gou-Jen Wang 1 Department of Mechanical Engineering<br />

2 Graduate Institute of Biomedical Engineering<br />

National Chung-Hsing University, Taichung 40227, Taiwan<br />

Tel:+886-4-22840725 x 320<br />

Email: gjwang@dragon.nchu.edu.tw<br />

Abstract- In this study, a simple method for the fabrication of high<br />

aspect ratio silicon nanoporous arrays is developed. A N-type<br />

silicon wafer is used as the material; a micro-scale pattern of the<br />

desired porous array is transferred to the front surface of the<br />

silicon wafer by photolithography; the wafer is placed in a<br />

home-made fixture to efficiently expel the etching generated air<br />

and promptly hold the back-side illumination light; a halogen<br />

lamp is used as the light source for backside illumination to<br />

enhance the electron-hole pairs generation; anodization is then<br />

processed using a new etchant which consists of the hydrofluoric<br />

acid and the EtOH and EMSO mixed surfactant to effectively<br />

polish the pore surface and sharp the tips of the etched pores. A<br />

nanochannel array with nano-tip being 61.4 nm is obtained.<br />

I. INTRODUCTION<br />

Porous silicon has attracted increasing interest owing to the<br />

advantages such as easily being fabricated in large area, pores<br />

being able to be arranged in order and patterned, and pores’<br />

shape being able to be adjusted by the process parameters. In<br />

addition, the semiconducting characteristic enables a porous<br />

silicon device to reveal different physical and/or chemical<br />

properties due to variation of porosity. Porous silicon can be<br />

found variety applications in light emitting diode (LED) [1],<br />

photodetector [2], solar cell [3], photonic crystal, photo sensor<br />

[4], biosensor [5], and field emission display [6].<br />

It has been nearly 50 years since the invention of porous<br />

silicon. However, the formation mechanism of porous silicon is<br />

still being developed. Parkhutik et al. [7] proposed that the<br />

relatively thinner oxide layer between the pores and the<br />

substrate enhances the electric field at each pore end; hence the<br />

silicon atom can easily acquire electric hole then dissolves. A<br />

high aspect ratio structure can thus be obtained. The basic<br />

principle is similar to that of the anodic aluminum oxide.<br />

Unagami [8] proposed that the porous silicon layer (PSL) is<br />

constructed by the local dissolution of silicon which happens<br />

only at the base of the pores. The divalent and the tetravalent<br />

reactions of silicon with hydrofluoric acid (HF) are the main<br />

driving forces for the dissolution of silicon in the pores.<br />

Theunissen et al. [9] reported that at the tip of a pore in N-type<br />

material, silicon atoms are likely to reach the breakdown<br />

voltage then dissolve due to the concentration of electric field.<br />

Beale [10] suggested that the depletion layer influences the<br />

distribution of the electric field in the silicon substrate, hence<br />

affects the porous structure. Smith et al. [11] proposed that the<br />

diffusion of the electric holes toward the interface between the<br />

silicon material and the etching solution determines the etching<br />

process. Lehmann et al. [12] pointed out that the band gap of<br />

the whole system is increased after the growth of the porous<br />

silicon such that the charge concentration in the porous silicon<br />

is reduced. A high aspect ratio pore array thus can be produced.<br />

Several factors affect the structure of a silicon porous array.<br />

Rönnebeck et al. [13] investigated the etching behavior in<br />

N-type and P-type materials. It was pointed out that the degree<br />

of doping in silicon substrate influences the etching results<br />

since it determines the thickness of the depletion layer.<br />

Carstensen et al. [14] compared the effects of HF concentration,<br />

different surfactants, and illumination intensity. Tsuboi et al.<br />

[15] studied the influences of the applied field induced<br />

polarization of the surfactant on the etching results. Among<br />

those reported studies, the size of the pores ranges from<br />

submicron to several micron. For delicate applications such as<br />

the detection of DNA sequences, nano-scale pores are desired.<br />

In this study, a simple process for the fabrication of<br />

nano-size silicon porous array is proposed. A N-type silicon<br />

wafer is used as the material; a micro-scale pattern of the<br />

desired porous array is transferred to the front surface of the<br />

silicon wafer by photolithography; the wafer is placed in a<br />

home-made fixture; the anodization is then processed using HF<br />

as the etching solution mixed with two surfactants to smoothen<br />

the etched surface under backside illumination.<br />

2.1 Materials<br />

II.<br />

MATERIALS AND METHODS<br />

(1) N-type silicon wafer<br />

As mentioned, diffusion of the electric holes plays an<br />

important role in the etching process of silicon [11]. Since the<br />

major carriers in P-type material are electric holes, the<br />

dissolution rate of silicon in P-type material is higher than that<br />

in N-type material. However, the etching process in P-type<br />

material is difficult to be well controlled. Lateral etching is the<br />

general problem. In this study, N-type material with thickness<br />

and resistivity being 525 ± 25μm and 1~100Ω-cm 2 respectively<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

23


is hence used. To enhance the efficiency of electron-hole<br />

separation, the back-side illumination is implemented.<br />

(2) Etching solution<br />

Hydrofluoric acid is the commonly used etching solution<br />

for silicon etching. However, extra high surface tension of HF<br />

prevents the pore surface from being uniformly wetted during<br />

etching downward. Resultantly, lateral etching can always be<br />

observed. The surface tension effect can be softened by either<br />

adding surfactants to the solution [16] or increasing the<br />

conductivity of the solution [17]. Ethyl alcohol (EtOH),<br />

dimethyl sulfoxide (DMSO), N-dimethylformamide (DMF),<br />

and tetrabutylammonium perchlorate (TBAP) are the<br />

commonly used surfactants. EtOH can soft the surface tension<br />

of the etching solution so the pore surface can be better wetted<br />

to prevent the lateral etching effect. Both DMSO and DMF are<br />

polar aprotic solvent which can enhance the downward<br />

diffusion of fluorine ions such that a smoother etching can be<br />

obtained. TBAP can increase the conductivity of the etching<br />

solution.<br />

In this study, HF is employed as the etching solution.<br />

EtOH is used to soft the surface tension of the etching solution.<br />

DMSO is added to increase the conductivity of the etching<br />

solution. DMSO is preferred because it is less toxicity than<br />

DMF.<br />

(3) Fixture<br />

Figure 1 illustrates the cross-sectional view of the<br />

home-made fixture. It consists of a top fixture made of Teflon<br />

to hold the etching solution; an o-ring to stable the silicon wafer;<br />

a Cu electrode; a bottom fixture to fix the silicon wafer and<br />

enable the back illumination through the =1 cm hole at its<br />

center. This vertical fixture enables the gaseous matter<br />

generated during etching process to escape from the pore inside<br />

to the wafer surface.<br />

Figure 1. Home-made fixture for the etching process<br />

(4) Mask<br />

The mask used in this study is shown in Figure 2. It is a <br />

=1 cm circle containing an array of holes with hole diameter<br />

and line pitch being 6 m and 10 m, respectively.<br />

11-13 <br />

May, 2011, Aix-en-Provence, France<br />

<br />

Figure 2. Mask used for patterning the pore array<br />

(5) Light source for backside illumination<br />

A 150 w halogen lamp is used as the light source for<br />

backside illumination. The light is guided to the bottom fixture<br />

by a 150 cm long optical fiber.<br />

2.2 Methods<br />

Figure 3 schematically illustrates the procedures of the<br />

proposed etching process for nanopore in silicon. It includes<br />

thin film deposition, photolithography, inductive coupled<br />

plasma (ICP) dry-etching, and end-point detecting<br />

anodic-etching. The process details are described below.<br />

Step (A): Deposit Si 3 N 4 as the hard mask<br />

The silicon wafer used is a 380 μm thick N-type<br />

wafer provided by the Wafer Works Corp. The Si 3 N 4 layer on<br />

both sides of the silicon wafer are deposited using the low<br />

pressure chemical vapor deposition (LPCVD) process such that<br />

the residual stress is reduced and a thicker film (10,000 Å) can<br />

be made. The process parameters are: temperature = 850 C,<br />

pressure = 180 mtorr, and reaction gases are NH 3 and SiH 2 Cl 2 .<br />

Step (B) & (C): Pattern the topside photoresist<br />

A etch window on the topside of the Si 3 N 4 deposited wafer<br />

is patterned by conventional photolithography process. Detail<br />

processes are listed below.<br />

i) Use the working mask as shown in Figure 2.<br />

ii) Spin-coat a 7 m thick positive photoresist (AZ-1518).<br />

Parameters for the spinning coating are: spinning speed of<br />

the 1 st stage= 500 rpm, spinning time for the first stage= 5<br />

sec, spinning speed of the 2 nd stage= 1500 rpm, spinning<br />

time for the 2 nd stage= 20 sec (Figure 3B).<br />

iii) Soft bake with temperature being set at 100 C for 2 min.<br />

vi) Expose (OAI 500 aligner) for 20 sec and develop (AZ-326<br />

MIF) for 15 sec.<br />

v) Hard bake at 120 C for 10 min.<br />

Step (D): Pattern the topside Si 3 N 4 film<br />

The ICP-RIE (Cirie-100) dry etching is adopted to<br />

transfer the pattern into the Si 3 N 4 film. The process parameters<br />

of the ICP-RIE are: reaction gas is CF 4 with flow rate being 45<br />

sccm, working pressure=5 mtorr, RF power=500 W, processing<br />

time=400 sec.<br />

Step (E): Remove the photoresist<br />

Step (F)-(I): Repeat processes (B)-(E) on the back surface with<br />

a 7×7 mm 2 working mask as the back etching window.<br />

Step (J): Process anisotropic wet etching on the backside silicon<br />

After removing the photoresist, the uncovered silicon<br />

surface is wet-etched by a 30% (w/w) KOH at 60C for 4 hours.<br />

Step (K): Deposit a 100 nm thick gold thin film as the end point<br />

detector for anodic etching.<br />

Step (L): Conduct anodic etching<br />

24


The anode and cathode are connected to the Cu electrode<br />

below the N-type silicon wafer and the Pt electrode in the<br />

etching solution to conduct anodic etching (Figure 4). When the<br />

pore array that is etched down from the tips of the inverted<br />

pyramids reaches the Au electrode, the current in the power line<br />

will increase rapidly (Figure 5). The anodic etching process can<br />

be terminated immediately.<br />

(A)<br />

(B)<br />

(D) (E) (F)<br />

(G) (H) (I)<br />

(J) (K) (L)<br />

Si Si 3 N 4 Photoresist Au<br />

Figure 3. Schematic illustration of the silicon pore array etching process<br />

Pt electrode<br />

(C)<br />

11-13 <br />

May, 2011, Aix-en-Provence, France<br />

<br />

III. RESULTS AND DISCUSSIONS<br />

3.1 Effect of HF concentration<br />

Several factors such as the applied voltage, concentration<br />

of HF, conductivity of etching solution, type of surfactant,<br />

intensity of illumination, and pH value affect the structure of a<br />

silicon porous array. Among them, the applied voltage and the<br />

concentration of HF are the major considerations. Therefore,<br />

only these two factors are selected as the process parameters for<br />

a more efficient investigation in this study. During the<br />

experiments, EtOH and DMSO are added to HF solution with<br />

concentration of 1M, 2M, and 4M respectively as the etching<br />

solutions. Various voltages were applied to the etching<br />

solutions for the anodic etching.<br />

(1) Etching results of the 1M HF<br />

Figure 6 shows the SEM images of the 1M HF etching results.<br />

In which, the insets are either the top view or the image of the<br />

45° incline. Table 1 tabulates the process parameters and the<br />

related results. For all experiments, the etching duration is 5 hr.<br />

The results in Table 1 indicate that the etching rates are similar<br />

except that of the 1.5 V. The SEM image in Figure 6(D) reveals<br />

that the process under 1.5 V potential can be categorized to<br />

electropolishing rather than anodic etching. In this condition, a<br />

silicon atom antecedently reacts with water molecule to become<br />

silicon dioxide. Silicon dioxide molecules are then dissolved by<br />

HF molecules. The chemical formulas for the reactions are<br />

shown in Equations (1)-(3). Electropolishing results in<br />

pore-widening on the pre-etched inverted pyramids; therefore,<br />

the originally designed 6 m pores are widened to 12 m pores<br />

with less depth.<br />

Etching solution<br />

O-ring<br />

Si + 4OH - +λh + → Si(OH) 4 + (4-λ)e - (1)<br />

Si(OH) 4 → SiO 2 +2H 2 O (2)<br />

SiO 2 + 6HF → H 2 SiF 6 + 2H 2 O (3)<br />

N-type Si<br />

Cu electrode<br />

Optical fiber<br />

Figure 4. Experimental apparatus<br />

Current (A)<br />

Figure 6. SEM images of the 1M HF etching results, (A)0.8V; (B)1V; (C)1.2V;<br />

(D)1.5V<br />

Figure 5. The current increase rapidly as the pore array reaches the Au electrode<br />

25


11-13 <br />

May, 2011, Aix-en-Provence, France<br />

Table 1. Etching results of the 1 M HF<br />

<br />

Voltage Channel depth Etching rate<br />

(V) (μm) (μm/hr)<br />

0.8 18.94 3.788<br />

1 20 4<br />

1.2 19.31 3.862<br />

1.5 14.06 2.812<br />

(2) Etching results of the 2M HF<br />

Figure 7 and Table 2 illustrate the etching results of the<br />

2M HF. As shown in Table 2, the applied voltage of 1V rather<br />

than the 1.5 V produced the fastest etching. It is presumed that<br />

the 1.5 V potential might reduce the effect of the electric field<br />

concentration, resulting in the increasing of the electric hole on<br />

the pore wall. The increasing etching reactions on the pore wall<br />

produced rugged wall surface. Since the etching reactions did<br />

not rivet on the pore tip, the etching rate is thus reduced (Figure<br />

7D).<br />

Figure 8. SEM images of the 4M HF etching results, (A)0.8V; (B)1V; (C)1.2V;<br />

(D)1.5V<br />

Table 3. Etching results of the 4 M HF<br />

Voltage<br />

(V)<br />

Channel depth<br />

(μm)<br />

Etching rate<br />

(μm/hr)<br />

0.8 94.62 18.924<br />

1 56.9 11.38<br />

1.2 22.765 4.553<br />

1.5 36.216 7.2432<br />

Figure 7. SEM images of the 2M HF etching results, (A)0.8V; (B)1V; (C)1.2V;<br />

(D)1.5V<br />

Table 2. Etching results of the 2 M HF<br />

Voltage<br />

(V)<br />

Channel depth<br />

(μm)<br />

Etching rate<br />

(μm/hr)<br />

0.8 29.6 5.92<br />

1 58.984 11.7968<br />

1.2 50.52 10.104<br />

1.5 49.743 9.9486<br />

(3) Etching results of the 4M HF<br />

The 4M HF etching results are shown in Figure 8 and<br />

Table 3. Figure 8 indicates that only the applied voltage of 0.8<br />

V can conduct acceptable etching. Since the concentration of<br />

HF is 4M, a larger applied voltage will draw forth far enough<br />

electric holes on the wafer surface to react with the fluoric ions.<br />

The etching process thus starts randomly from the wafer<br />

surface rather than form the tip of the inverted pyramids (Figure<br />

8B-8D).<br />

3.2 Effect of the protective Si 3 N 4 layer<br />

Electric field concentration is the basic principle of anodic<br />

etching. In the study, the spots of electric field concentration<br />

consist of the tips of the inverted pyramids and the four corners<br />

of etch pre-etched pore. The protective Si 3 N 4 layer further<br />

enhances this phenomenon. It is also interesting to investigate<br />

the influence of the protective Si 3 N 4 layer. The parameters for<br />

Figure 7(B) (HF=2M, applied voltage=1V) which had better<br />

etching performance are used for the etching without a Si 3 N 4<br />

layer.<br />

Figure 9 compares the results of the with Si 3 N 4 layer and the<br />

without Si 3 N 4 layer etchings. The top row and the bottom row<br />

illustrate the results of the without Si 3 N 4 layer and with Si 3 N 4<br />

layer etching, respectively. The etching time of the without<br />

Si 3 N 4 layer etching is 3 hr. The etching rate, which is estimated<br />

to be 11.61 m/hr, is close to that of the with Si 3 N 4 layer etching.<br />

For the without Si 3 N 4 layer etching, randomly etched cavities<br />

due to the reactions between fluoric acids and electron holes on<br />

the silicon surface can be observed. It can also be found that the<br />

wet etched squares are widened. For the Si 3 N 4 layer protective<br />

etching, symmetrical cannelures stretching from the four<br />

corners of etch wet etched square. It is presumed that the<br />

electric field concentration at the corners of a wet etched square<br />

at the initial stage of etching leads to the directional etchings of<br />

the cannelures. However, vertical microchannels having the<br />

size close to the wet etched square were fabricated. It reveals<br />

that a Si 3 N 4 layer is desired for a successful etching of porous<br />

array in silicon.<br />

26


11-13 May , 2011 , Aix-en-Provence, France<br />

the bottom part of the sample contains higher concentration of<br />

electric hole than the upper part. Hence, side etching is observed at the<br />

bottom part of a nanochannel. To reduce the side etching effect and<br />

ensure the dissolution reactions only occurring at the tip of the<br />

channel, the power of the halogen lamp needed to be weakened<br />

gradually along the etching process. In our later study, the<br />

power of the halogen lamp is gradually reduced to 10% of its<br />

maximum value. Figure 11 shows a SEM image of an etched<br />

channel under a light intensity attenuating process. A 61.4 nm<br />

nano-tip can be obtained.<br />

Figure 9. Effect of the protective Si 3 N 4 layer, top row: without Si 3 N 4 layer<br />

etching; bottom row: with Si 3 N 4 layer etching.<br />

3.3 Effect of the backside illumination<br />

Silicon atoms, electric holes, and fluorine ions are the<br />

three elements which involve in the etching process of silicon.<br />

Since the majority charge in N-type material is electron, back<br />

illumination is required to enhance the electron-hole pairs<br />

generation in the material. The generated electric holes will<br />

participate in the etching process, while the electrons will be<br />

conveyed from the anode through the power line to the cathode.<br />

A larger current in the power line indicates a larger amount of<br />

electric hole generated by the back illumination. Figure 10<br />

shows the dynamic polarization curves for the etching<br />

processes under back illuminations of various intensities. In<br />

which, the process of curve (C) is conducted under a stronger<br />

back illumination than that of the process of curve (B), while<br />

curve (A) denotes the process of no back illumination. The<br />

results reveal that a higher intensity of back illumination can<br />

generate a larger amount of electric hole to enhance the etching<br />

process.<br />

Figure 11. SEM image of an etched channel under a light intensity attenuating<br />

process<br />

IV. CONCLUSION<br />

In this study, a simple method for the fabrication of high<br />

aspect ratio silicon nanoporous arrays is developed. At the<br />

beginning, the photolithographic process is implemented to<br />

pattern micro-porous arrays on an N-type silicon wafer. The<br />

pre-etching by KOH is than conducted to produce an inverted<br />

pyramid array on the wafer, followed by an anodic etching<br />

process to further etch the pores down from the tip of each<br />

pyramid. The success of the proposed method can be attributed<br />

to two main reasons. (1) The home-made fixture to efficiently<br />

expel the etching generated air and promptly hold the back-side<br />

illumination light; (2) The using of a new etchant which<br />

consists of the hydrofluoric acid and the EtOH and EMSO<br />

mixed surfactant to effectively polish the pore surface and<br />

sharp the tips of the etched pores.<br />

ACKNOWLEDGEMENTS<br />

The authors would like to address their thanks to the National<br />

Science Council of Taiwan for their financial support of this<br />

work under grant NSC 97-2628-E-005-001-MY2.<br />

Figure 10. Dynamic polarization curves for the etching processes under back<br />

illuminations of various intensities<br />

Since the backside illumination is employed to produce<br />

electron-hole pairs in the N-type material, it can be presumed that<br />

REFERENCES<br />

[1] D.J. Lockwoodm, G.C. Aers, L.B. Allard, B. Bryskiewicz, S. Charbonneau,<br />

D.C.Houghton, J.P. McCaffrey, and A. Wang, Can.J.Phys., 70 , 1184,<br />

1992.<br />

[2] G. Willeke, H. Nussbanumer, H. Bender, and E. Bucher, Solar Energy<br />

Materials and Solar Cells., 4, 345-346, 1992.<br />

[3] S. E. Letant, S. Content, Tze Tsung Tan, F. Zenhausern, M. J. Sailor,<br />

Sensors and Actuators B, 69, 193-198, 2000.<br />

[4] J.R. Proot, C.Delerue, and G. Allen, Applied Physic Letter, 61, 1948, 1992.<br />

[5] A. Foucaran, B. Sorli, M. Garcia, F. Pascal-Delannoy, A. Boyer, Sensors<br />

and Actuators, 79, 189-193, 2000.<br />

[6] S. W. You, J. E. Kim, I. H. Jang, S.-Y. Choi, Y.-S. Sohn, Molecular Crystals<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

27


11-13 <br />

May 2011 Aix-en-Provence, France<br />

and Liquid Crystals, 470, 403-410, 2007.<br />

<br />

[7] V. Parkhutik, Solid-State Electronics, 43, 1121-1141, 1999.<br />

[8] T. Unagami, “Formation Mechanism of Porous Silicon Layer by<br />

Anodization in HF Solution” J. Electrochem. Soc., 127(2), 476-483, 1980.<br />

[9] M.J.J. Theunissen, J. Electrochem. Soc., 119, 351, 1972.<br />

[10] M. I. J. Beale, N. G. Chew, M. J. Uren, A. G. Cullis, and J. D. Benjamin,<br />

Applied Physics Letters, 46, 86-88, 1985.<br />

[11] R. L. Smith and S. D. Collins, Journal of Applied Physics, 71, 1-22, 1992.<br />

[12] V. Lehmann, J. Electrochem. Soc., 10, 2836-2843, 1993.<br />

[13] S. Rönnebeck, V. Lehmann, Journal of the Electrochemical Society, 146,<br />

2968-2975, 1999.<br />

[14] J. Carstensen, M. Christophersen, G. Hasse, H. Főll, Phys. Stat. Sol., 182,<br />

63-69, 2000.<br />

[15] T. Tsuboi, T. Sakka, Y. H. Ogata, Electrochimica Acta, 46, 1013-1018,<br />

2001.<br />

[16] X. G. Zhang, S. D. Collins, and R. L. Smith, Journal of the Electrochemical<br />

Society, 136, 1561-1565, 1989.<br />

[17] X. G. Zhang, Journal of the Electrochemical Society, 138, 3750-3756,<br />

1991.<br />

Dr. Gou-Jen Wang received the B.S. degree on 1981<br />

from National Taiwan University and the M.S. and<br />

Ph.D. degrees on 1986 and 1991 from the University<br />

of California, Los Angeles, all in Mechanical<br />

Engineering. Following graduation, he joined the<br />

Dowty Aerospace Los Angeles as a system engineer<br />

from 1991 to 1992. Dr. Wang joined the Mechanical<br />

Engineering Department at the National Chung-Hsing<br />

University, Taiwan on 1992 as an Associate Professor<br />

and has become a Professor on 1999. From<br />

2003-2006, he served as the Division Director of<br />

Curriculum of the Center of Nanoscience and Nanotechnology. Since 2007, he<br />

has been the joint Professor and Chairman of the Graduate Institute of<br />

Biomedical Engineering, National Chung-Hsing University, Taiwan. On 2008,<br />

he served as the Conference Chair of the Microfabrication, Integration and<br />

Packaging Conference (April/2008, Nice, France). From 2009, he is a<br />

Committee member of the Micro- and Nanosystem Division of the American<br />

Society of Mechanical Engineers. His research interests include MEMS,<br />

biomedical micro/nano devices, nano fabrication, and dye-sensitized solar<br />

cells.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

28


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fabrication Methods for the Manufacture of<br />

Sapphire Microparts<br />

David M. Allen, Roxana Redondo and Maximilien Dany<br />

Precision Engineering Centre, Cranfield University, Bedford MK43 0AL, UK<br />

Abstract- There is an increasing demand for microparts<br />

to be fabricated from an extremely hard-wearing,<br />

durable material such as sapphire but machining it to<br />

demanding specifications and tolerances poses<br />

considerable challenges. This paper describes<br />

experimental results obtained from laser machining and<br />

diamond machining of sapphire and concludes that, for<br />

optimum machining, a combination of these two<br />

techniques is required.<br />

I. INTRODUCTION<br />

The properties of sapphire, a form of alumina<br />

(Al 2 O 3 ), are attracting the attention of manufacturers<br />

for many different reasons. The material is the<br />

second hardest material known (9 on the Mohs<br />

hardness scale); second only to diamond (10 Mohs)<br />

and as such is “scratch-proof” and extremely durable<br />

with an exceptionally long service-life if used as a<br />

component. It is chemically inert and therefore<br />

resistant to attack by acidic and alkaline etchants. It<br />

also has exceptional optical properties being<br />

transparent in the infra-red, visible and ultra-violet<br />

regions of the electromagnetic spectrum from 170nm<br />

to 5500nm (see Fig. 1).<br />

It is worth noticing that various properties of sapphire<br />

such as hardness, dielectric constant, and thermal<br />

coefficient vary depending on the crystal orientation.<br />

For example, as shown in Table I, if the crystal’s<br />

orientation is perpendicular to the c-axis ( ┴ c-axis),<br />

the material is harder and a better insulator than if it<br />

is oriented parallel to the c-axis (║c-axis).<br />

However, the hardness of sapphire makes it a<br />

“difficult-to-machine” material. There is very little<br />

open literature, or even patents, on the methods of<br />

manufacturing sapphire parts from single crystal<br />

boules (ingots), although commercial companies<br />

obviously process single crystal sapphire by methods<br />

that are kept in-house as closely-guarded secrets. A<br />

paper published in 2010 shows a 500µm thick single<br />

crystal disk of sapphire that has been cut out by fine<br />

abrasive water jet machining [1]. However, it is<br />

acknowledged that the cut edge definition is not<br />

ideal, resulting in chipping as (quote) “small flakes<br />

were cut out from the edge”.<br />

Sapphire disks are frequently used in optical<br />

applications such as lenses or windows. To obtain the<br />

best optical quality, the most common crystalgrowing<br />

method is the Kyropolis method. The disks<br />

must then be cut and polished, which are two<br />

mechanical machining processes. A conventional<br />

approach to cut sapphire blocks involves the use of<br />

diamond abrasives bonded onto saw blades.<br />

Fig. 1. Transmission spectrum of a 2 mm thick sapphire window<br />

[2]<br />

However, one of the most recent tools developed to<br />

cut ceramic ingots or blocks, involves the use of<br />

wires coated with diamond abrasives. This technique<br />

is commonly applied in the form of multi-wire<br />

slicing, to cut very thin wafers of sapphire for the<br />

light emitting diodes (LED) industry. It is a fast<br />

process, though the loose grains may reduce the rate<br />

of material removal [3].<br />

The diamond abrasives used in wire cutting are<br />

bonded to a steel wire by nickel electroplating (Fig.<br />

2). According to the nomenclature of abrasives, the<br />

grit type is described using a letter and number<br />

system. The letter refers to the type of material,<br />

whilst the number refers to the average grain size of<br />

the abrasives, expressed as average diameter, or<br />

grains per unit area or volume. The grit type<br />

commonly used to wire-cut sapphire ranges between<br />

D 07 and D 91 grits, where D stands for diamond [3].<br />

29


Unfortunately, this fabrication method is slow and<br />

cannot readily be used to produce complex 2D and<br />

3D parts.<br />

TABLE I.<br />

PHYSICAL PROPERTIES OF SAPPHIRE [FROM 1]<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Property<br />

Value<br />

Density (kg/m 3 ) 3970<br />

Knoops Hardness (kg/mm 2 )<br />

1800 (║c-axis),<br />

2200 ( ┴ c-axis)<br />

Hardness in Mohs’ scale 9<br />

Young’s Modulus (GPa) 345<br />

Flexural Strength (kpsi) 100<br />

Compressive Strength (kpsi) 425<br />

Poisson’s Ratio 0.29 – 0.30<br />

Optical Transmission (nm) 170-5500<br />

Dielectric Constant<br />

11.53 (║c-axis),<br />

9.35 ( ┴ c-axis)<br />

Resistivity (ohms cm) 10·10 16<br />

Thermal Coefficient (1/°C)<br />

5.41·10 -6 (║c-axis),<br />

4.31·10 -6 ( ┴ c-axis)<br />

Melting Point (°C) 2050<br />

Boiling Point (°C) 2980<br />

Fig.2. SEM image of diamond abrasives on steel wire [3].<br />

One of the very few illustrations known of a sapphire<br />

micropart is a 0.25mm thick sapphire gear wheel<br />

made at Laser Zentrum Hannover, Germany (Fig. 3).<br />

It was used in a fluid sensor and was machined by<br />

multiple passes of higher harmonic 355nm<br />

wavelength radiation from a Nd:YAG laser with a<br />

high peak power intensity of 10 7 – 10 8 W/cm 2 .<br />

Successful machining was attributed to the short<br />

pulse length and superior beam quality [4]. However,<br />

a later publication acknowledged a problem<br />

associated with the effects of laser machining;<br />

namely “Among these undesired effects is the<br />

damaging of the rear side of thin wafers formed while<br />

surface structuring and drilling blind holes” [5].<br />

Fig. 3. A 0.25mm thick sapphire gear wheel made by multiple<br />

passes of 355nm wavelength laser pulses for high precision with<br />

no microcracking (Courtesy of A. Ostendorf and Laser Zentrum<br />

Hannover, Germany) [5]<br />

In an attempt to fabricate crack-free, extremely<br />

durable, optically-clear microcomponents, the authors<br />

have investigated and compared various methods of<br />

fabricating sapphire parts by both non-contact laser<br />

machining [6] and contact diamond machining [7].<br />

II. MATERIALS AND EQUIPMENT<br />

The manufacturing challenge is to fabricate<br />

microparts suitable for use in mechanical watches<br />

and instruments from sapphire discs 31mm in<br />

diameter and 1.2mm thick. Currently, scratch-proof<br />

watch “glasses” are made from sapphire and, very<br />

recently in January 2011, a new design of “seethrough”<br />

sapphire watch dial was advertised in the<br />

horological press. Apertures are stated to have been<br />

fabricated using a laser, but no technical details have<br />

been released, to the knowledge of the authors [8].<br />

In our research, several types of lasers have been<br />

used in order to machine the synthetic c-plane<br />

sapphire disks provided.<br />

As there is a vast range of laser beam machining<br />

systems available these days, collaborations with<br />

specialist laser facilities located in the UK and The<br />

Netherlands were instigated. The collaborating<br />

universities and companies were requested to drill<br />

circular holes of diameters ranging between 0.5 mm<br />

and 3.0 mm, and/or to machine a curve in the<br />

periphery of the disk. Due to the lack of experience in<br />

the machining of 1.2mm thick sapphire samples (such<br />

as those used throughout this project), the laser<br />

technology available for each of the lasers chosen<br />

was applied according to the interpretation of each of<br />

the specialist technicians involved in the machining<br />

processes.<br />

30


11-13 May 2011, Aix-en-Provence, France<br />

<br />

TABLE II.<br />

CHARACTERISTICS OF THE LASERS USED<br />

Type of Laser<br />

Used<br />

DPSS<br />

(University of Twente)<br />

Nd:YVO 4<br />

(MEC, Cardiff<br />

University)<br />

CVL<br />

(Oxford Lasers)<br />

Yb glass fibre<br />

(University of Cambridge)<br />

Repetition rate<br />

(kHz)<br />

400 50 10 2000<br />

Principal<br />

wavelengths (nm)<br />

343<br />

(uv)<br />

355<br />

(uv)<br />

511 (Green)<br />

578 (Yellow)<br />

1064 ± 10<br />

(ir)<br />

Pulse duration (s) 10·10 -12 < 12·10 -12 20·10 -9 0.7·10 -12<br />

Laser entrance side<br />

Laser exit side<br />

Fig. 4. Entrance (left) and exit (right) surfaces of sapphire disk after machining holes H1 (Φ = 2.5mm) and H2-H7 (Φ = 1.5mm) with the DPSS<br />

laser. Holes H1, H3 and H4 have been completely machined through the thickness of the disk after several passes. Holes H2, H5, H6 and H7<br />

have not been completely trepanned through. All holes at the exit side exhibit surface damage to some extent.<br />

Figure 5(a, left) showing a Nd:YVO 4 laser machined curve, 1.0 mm diameter hole and 21 slots on the laser entry side of the disk; (b, upper right)<br />

showing exit side of curve and slots with slight surface damage; (c, lower right) a sample showing exit side of hole and longer slots with similar<br />

surface damage.<br />

31


11-13 May 2011, Aix-en-Provence, France<br />

<br />

III. LASER MACHINING<br />

The details of the four different lasers used for<br />

micromachining sapphire are summarised in Table II.<br />

The diode-pumped solid state (DPSS) laser is a<br />

Trumpf TruMicro 5350 picosecond laser with<br />

maximum pulse energy of 50µJ, operating at 343nm;<br />

the most energetic of the laser wavelengths tested.<br />

Holes were trepanned as shown in Fig. 4. An<br />

additional observation that can be drawn from the<br />

figure is that the dimensions of the successfully<br />

drilled holes are different on both sides,<br />

demonstrating tapering. Hole H1 has a diameter of<br />

2.5 mm on the laser entrance side but only 2.1 mm on<br />

the exit side showing significant sidewall tapering.<br />

The most successful laser was the mode-locked<br />

Lumera Laser GmbH Nd:YVO 4 picosecond pulse<br />

duration laser operated at MEC in the uv range<br />

(355nm) as a third harmonic from the 1064nm<br />

wavelength. This laser has a maximum power of 2W<br />

and maximum pulse energy of 20µJ. Holes and a<br />

curved profile are shown in Fig.5. Less taper on the<br />

cut profiles was noted in comparison to the DPSS<br />

laser.<br />

The Oxford Lasers nanosecond pulse duration copper<br />

vapour laser (CVL) operates in the visible region of<br />

the electromagnetic spectrum with the intensity of the<br />

green wavelength (511nm) twice that of the yellow<br />

wavelength (578nm). Some machining was effected<br />

but the resolution was poor and fracturing at edges<br />

was prevalent in small holes of Φ = 0.1mm.<br />

However, it should be noted that even frequency<br />

doubled CVL radiation of 255nm wavelength has<br />

only been used successfully in the past to scribe<br />

sapphire to a maximum thickness of 90µm [9].<br />

The ytterbium-doped glass fibre laser has the highest<br />

repetition frequency and the longest wavelength (in<br />

the near ir) of the lasers investigated. The absorption<br />

of the 1064nm wavelength is therefore extremely low<br />

and the machining is ineffective over an acceptable<br />

maximum processing period of a few minutes.<br />

IV. DIAMOND MACHINING<br />

Typical sapphire components may require flats,<br />

chamfers and grooves to be machined and these<br />

features cannot be fabricated using laser technology.<br />

Diamond machining was therefore tested to<br />

determine whether it was a viable manufacturing<br />

technique. It should be noted that the surfaces<br />

produced needed to be extremely smooth and<br />

optically transparent to meet the aesthetic<br />

specifications in addition to those related to<br />

dimensions and tolerances. Diamond machining was<br />

carried out on a Kern Evo Machining Centre fitted<br />

with a prototype high speed Westwind air-bearing<br />

spindle capable of 350,000 rpm.<br />

Electroplated diamond pin tools (D76 and D126)<br />

were used for machining (Fig. 6). Phenolic resinbonded<br />

pin tools (D25 and D07) were utilised to<br />

reduce Sa but proved unsatisfactory due to high bond<br />

wear rate.<br />

Fig. 6. D76 electroplated diamond pin tool (Φ= 1mm)<br />

To machine a flat step, either the side or the tip of the<br />

diamond pin tool was used. In both cases, the<br />

sapphire disk was waxed onto a holder after an<br />

essential preheating to melt the wax. Then, the disk<br />

and the holder were mounted in the machine (on a<br />

pallet or in a vice); the surface of the disk being<br />

either horizontal (to use the tip of the tool) or vertical<br />

(to use the end of the tool).<br />

To machine a chamfer, the sapphire sample was<br />

waxed onto an aluminium set square holder. Firstly, a<br />

flat step was machined on the sample, then the holder<br />

was rotated by 45° and mounted on the pallet<br />

(without having to unwax the sapphire sample) and<br />

the chamfer was machined as shown in Fig.7.<br />

Fig. 7. Sapphire disk mounted on aluminium set square holder to<br />

machine a 45º chamfer using the tip of the tool [7].<br />

Machining parameters included; spindle rotation<br />

speed, feed rate, depth of cut, tool positioning used<br />

32


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

(machining with the side or with the tip), coolant<br />

and tool wear.<br />

The machining parameters were therefore chosen as<br />

follows: For the spindle rotation speed and the feed<br />

rate, the values chosen for the experimental tests were<br />

varied around the recommended values as shown in<br />

Table III.<br />

• For the depth of cut, most of the time a value of<br />

0.02 mm was chosen, even though a lower value<br />

was sometimes tested (especially for the<br />

finishing operations). Using smaller depth of cut<br />

would probably improve the surface roughness,<br />

but it would also increase the time of machining.<br />

• For the tool positioning, both the side and the tip<br />

were used.<br />

• Air and water-based coolants were used. White<br />

spirit was used once only as it was not<br />

environment-friendly.<br />

• For evaluating the influence of tool wear, some<br />

operations were performed twice, using the same<br />

machining parameters, first with a used tool, then<br />

with an unused tool.<br />

TABLE III.<br />

PARAMETERS RECOMMENDED BY TOOL MANUFACTURER<br />

Tool<br />

Spindle rotation Feed rate Depth of<br />

diameter<br />

speed (rpm) (mm/min) cut (mm)<br />

(mm)<br />

0.5 18,000 1.0 0.02<br />

1.0 40,000 1.0 0.02<br />

3.0 60,000 1.0 0.02<br />

3.5 60,000 1.0 0.02<br />

After grinding the sapphire samples, the surface<br />

quality was measured. The best way to analyse the<br />

surface quality is to measure the depth of sub-surface<br />

damage of the surface machined. However, the<br />

surface roughness of a machined area can be<br />

correlated with its sub-surface damage [10]. Since a<br />

lot of samples had to be measured, and because it is<br />

easier to measure a surface roughness than a depth of<br />

sub-surface damage, the surface average roughness<br />

Sa was measured to assess the surface quality of the<br />

machined areas.<br />

The machine used to measure the average roughness<br />

(Sa) was a Talysurf CCI 6000 (white light<br />

interferometer). The lowest value of Sa recorded was<br />

65nm. A magnification of x50 was chosen, which<br />

resulted in a 360µm x 360µm window analysis. The<br />

fractures and the dimensions of the features machined<br />

were measured using the SEM (Scanning Electron<br />

Microscope). This was also a good method to analyse<br />

the aesthetic appearance of the features.<br />

The results appeared to be completely different using<br />

the side or the tip of the tool. Indeed, a better surface<br />

roughness was usually achieved using the tip of the<br />

tool. However, machining with the side of the tool<br />

resulted in a homogenous result, while machining<br />

with the tip of the tool resulted in a nonhomogeneous<br />

result with more fractures. This is<br />

explained for two main reasons:<br />

• Firstly, when machining with the tip of the tool,<br />

the contact area between the tool and the<br />

workpiece is a disk, in which the cutting speed is<br />

not uniform. Indeed, the centre of the tool is not<br />

rotating, and the cutting speed increases with the<br />

radial position up to a maximum value on the<br />

edge of the tool. The middle part of the tool is<br />

therefore not cutting sapphire but rubbing on<br />

sapphire, which most probably chips off some<br />

material. When machining with the side of the<br />

tool, the contact area is theoretically a line where<br />

the cutting speed is uniform.<br />

• Secondly, when machining with the tip of the<br />

tool, since the contact area is a disk, machined<br />

material can get trapped between the tool and the<br />

workpiece, fracturing the sample. This problem<br />

does not occur when machining with the side of<br />

the tool, where the machined material can easily<br />

be removed from the working area.<br />

A comparison between the two machining methods is<br />

shown in Fig. 9. It can be seen that the side-machined<br />

surface has no fractures with a better aesthetic<br />

appearance than the tip-machined surface, but it also<br />

has a higher average roughness than the tip-machined<br />

surface. The average roughness of 810nm for the<br />

side-machined surface is mainly the result of the tool<br />

profile that has shaped the surface. Although the tipmachined<br />

surface has a better average roughness of<br />

690nm, it has a higher light scatter and a resultant<br />

lower aesthetic quality.<br />

Fig. 8. 0.5mm diameter hole diamond machined in sapphire [7].<br />

Using a 0.5mm diameter D76 pintool as a drill, some<br />

well-defined holes (Fig. 8) were also fabricated by<br />

drilling half-way through the disk, turning it over and<br />

registering a second machining operation with the<br />

first operation. This prevented edge fractures.<br />

33


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Fig. 9. (Top) SEM image and CCI analysis of surface F (machined with the side of the tool) with Sa = 810 nm;<br />

(Bottom) SEM picture and CCI analysis of surface G (machined with the tip of the tool) with Sa = 690 nm [7].<br />

V. CONCLUSIONS<br />

Rapid laser machining using uv radiation appears to<br />

be an acceptable method for roughing out microparts<br />

by profiling and perforating sapphire<br />

disks thicker<br />

than 1mm. The use of visible and near ir radiation<br />

with wavelengths between 400nm and<br />

2000nm does<br />

not seem effective for machining thick sapphire but it<br />

has been reported previously that 532nm radiation<br />

from a Trumpf laser was used to pattern the back of<br />

430µm thick sapphire dies [11]. Fabrication of vias<br />

appears to be possible but considerable cracking is<br />

apparent.<br />

Diamond machining is slow but can finish tapered<br />

edges formed by laser cutting and impart a sub-<br />

damage on<br />

micron surface finish by removing laser<br />

the beam exit side of sapphire disks. Further work on<br />

reducing Sa below 65nm will investigate the<br />

possibility of using resin-bonded tools with lower<br />

wear rates than those used in this research.<br />

The conclusion has therefore been reached that for<br />

efficient sapphire micropart production, uv lasers<br />

should be utilised for rapid roughing out of profiles<br />

and holes and the slower processs of diamond<br />

machining should be utilised for finishing the parts to<br />

an acceptable surface finish and aesthetic quality.<br />

However, it is highly probable that manual polishing<br />

could be a most effective final finishing<br />

process.<br />

ACKNOWLEDGMENTS<br />

The research was financed by the EC<br />

FP7 “Integmicro”<br />

collaborative project: CP-IP 214013-2; New<br />

production technologies of complex 3D<br />

microdevices<br />

through multiprocess integration of ultra precision<br />

engineering techniques. We wish to thank our FP7<br />

partners, Westwind, Kern and Swatch for their help<br />

and collaboration and to acknowledge the laser<br />

machining collaboration of Prof J. Meijer (University<br />

of Twente, The Netherlands) ); Dr P. Jefferies<br />

(Manufacturing Engineering Centre, Cardiff<br />

University, Wales); Dr A. Ferguson (Oxford Lasers<br />

Ltd., Didcot, England); Dr W. O’Neill (Centre for<br />

Industrial Photonics, Institute for Manufacturing,<br />

University of Cambridge, England) and, for the<br />

diamond machining of sapphire disks, Mr J. Hedge<br />

(Precision Engineering Centre, Cranfield University,<br />

England).<br />

REFERENCES<br />

[1] T. Aklint, P. Johander, K. Brinkfeldt, , C. Ojmertz and T. Ryd,<br />

Abrasive waterjet cutting for micro manufacture, Proc. 7 th<br />

International Conference on Multi-Material Micro Manufacture,<br />

Bourg en Bresse and Oyonnax, France, November 2010, 147-150.<br />

[2] J.S. Tydex Co., 2009.<br />

[3] Ceramic Industry, 2005.<br />

[4] J. Meijer et al, Laser machining by short and ultrashort pulses,<br />

state of the art and new opportunities in<br />

the age of the photons,<br />

CIRP Annals, 51/2, 531-550 (2002).<br />

[5] A. Ostendorf, T. Temme and K. Samm, Proc. 5 th euspen<br />

International Conference, Montpellier, France, May 2005, 719.<br />

[6] R. Redondo, Micromachining of Sapphire, MSc thesis,<br />

Cranfield University, UK, September 2009<br />

[7] M. Dany, Mechanical Micromachining of Sapphire, MSc<br />

thesis, Cranfield University, UK, September 2010<br />

[8] http://www.lacotedesmontres.com/No_ _8257.htm<br />

[9] D. Karnakis, E.K. Lilly, M.R.H. Knowles, E. Gu and M.D.<br />

Dawson, High throughput scribing for the t manufacture of LED<br />

components, Proc. Photonics West 2004: Lasers and applications<br />

in science and engineering. Proc SPIE 5366, 207; doi<br />

10.1117/12.531685<br />

[10] P.P. Hed and D.F. Edwards, Relationship between surface<br />

roughness and subsurface damage during<br />

grinding of optical glass<br />

with diamond tools, Applied Optics, 26, 4677-4680 (1987)<br />

[11] J. Vignes, F. Haring, S.S. Ahmad, K. Gerstner and A.<br />

Reinholz, Laser patterning and via drilling of sapphire wafers and<br />

die, Proc. 43 rd Int. Symp. on Microelectronics, IMAPS, North<br />

Carolina, USA, November 2010, 000513-000520.<br />

34


!<br />

11-13 May 2011, Aix-en-Provence, France<br />

Characterisation and Comparison of Water and<br />

Alcohol as Catalysts in Vapour Phase HF Etching of<br />

Silicon Oxide Films<br />

D. Drysdale 1 , T. O’Hara 2 , C. H. Wang 1<br />

1<br />

School of Engineering & Physical Sciences, Heriot-Watt University, Edinburgh, EH14 4AS, UK<br />

3<br />

memsstar Ltd, Scottish Microelectronics Centre, University of Edinburgh, Edinburgh, EH9 3JF, UK<br />

Abstract- The comparison of etch rates and selectivities for thin<br />

films of silicon dioxide and silicon nitride with respect to water<br />

and alcohol based (ethanol in this case) catalysts in a vapour phase<br />

HF etching process is discussed. Observation of etch rates for both<br />

PECVD Oxide and Nitride films are used to describe the<br />

behaviour of silicon dioxide etching. These behaviour<br />

characteristics can also be used to develop selectivity behaviours<br />

between the two films based on each of the catalysts. A number of<br />

factors are considered in the vapour phase etching process: the<br />

total gas flow for the etching process, process temperature and the<br />

etching pressure. The paper discusses the differences between<br />

both water and ethanol as process catalysts for the improvement<br />

of silicon dioxide etching selectivity with respect to silicon nitride.<br />

Results show that using water as a catalyst, a selectivity of up to<br />

40:1 can be achieved while with a direct comparison of the same<br />

etch process with ethanol, the highest achievable selectivity is 15:1.<br />

On the other hand, with comparable etch rates to that of the<br />

water catalyst process, the highest selectivity achieved was 10:1.<br />

I. INTRODUCTION<br />

The use of anhydrous HF vapour as an etchant has become<br />

commonplace within microelectromechanical systems<br />

(MEMS). It is typically used in the production of free-standing<br />

structures for a range of MEMS devices such as RF switches,<br />

accelerometers and microphones. From the initial etching<br />

technique using acid baths as described by Holmes and Snell<br />

[1] with further study by G. Van Barel et al. [2],[3] in<br />

understanding behaviour within wet processing to the recent<br />

work of vapour phase HF etching by Witvrouw et al. [4]. The<br />

use of HF for etching silicon dioxide has become a standard<br />

process technique and as its impact becomes more prominent<br />

with the growth of the MEMS industry in fabricating many<br />

devices. A critical process in MEMS production is the<br />

integration of the release process to the existing semiconductor<br />

fabrication processes.<br />

Many large-scale fabrication facilities still work solely with<br />

CMOS processes and materials thus developing new etching<br />

options that integrate well with these standardised methods<br />

reduces the difficulty of developing next generation MEMS<br />

devices. Many of today’s modern MEMS devices typically<br />

require one or more of five common CMOS materials:<br />

aluminium, silicon, polysilicon, silicon dioxide and silicon<br />

nitride. While HF etching is not a problem in terms of<br />

selectivity to the first three materials, problems arise in using<br />

an HF etch which is selective to silicon dioxide with respect to<br />

silicon nitride. The use of silicon dioxide as the sacrificial<br />

material of choice is for many reasons; typically used as<br />

passivation and insulating layers as well as dielectric layers for<br />

a device depending on the thickness of the film.<br />

The main focus of silicon dioxide in this study is its role as a<br />

sacrificial layer for the fabrication of MEMS devices such as<br />

microphones and RF MEMS switches. It is however, common<br />

to have silicon nitride layers and silicon dioxide layers stacked<br />

on top of each other with the nitride layer forming part of the<br />

structural or functional part of the device while the oxide acts<br />

as a sacrificial layer to be removed to realise the final freestanding<br />

structure.<br />

While a wet process can typically be used, this generates a<br />

widely experienced phenomenon called stiction [5],[6]. Stiction<br />

is the near permanent adhesion of two surfaces commonly due<br />

to electrostatic forces, hydrogen bonding and Van der Waals<br />

forces. Should the restoration force of a movable structure be<br />

less than the adhesion force being applied to it by an external<br />

source (such as a bead of moisture), it will adhere reducing<br />

yield of functional devices and causing high levels of device<br />

failures. Stiction commonly occurs due to moisture present in<br />

micron scale devices as scaling laws suggest that even a single<br />

droplet of moisture applies a strong enough force to hold a<br />

released structure. This is often seen as the other key reason in<br />

the push to vapour phase processing from wet processing<br />

commonly employed the world over. By etching in a vapour<br />

phase, moisture generation is reduced and stiction is therefore<br />

reduced which in turn creates a higher yield for devices. The<br />

equation for etching silicon dioxide is defined as:<br />

catalyst catalyst<br />

SiO2 + 4HF ! SiF4 + 2H2O (1)<br />

This equation requires a catalyst for the reaction to begin and<br />

is typically considered to be either water or alcohol. As can be<br />

seen by the reaction, water is generated as a by-product and<br />

while the presence of too much water or any moisture within<br />

the reaction chamber can damage product wafers, its presence<br />

is needed not only to initiate the reaction, but to maintain it. It<br />

is the ability not only to remove the excess water generated but<br />

to control the other process factors of the etch to keep a high<br />

and repeatable etch process that is the key to its success. By<br />

studying the behaviour of these two key materials, it is hoped<br />

that a better understanding of the etching behaviour can be<br />

achieved thus helping future designs for MEMS devices.<br />

<br />

35


!<br />

II.<br />

11-13 May 2011, Aix-en-Provence, France<br />

EXPERIMENTATION PROCEDURE<br />

III. EXPERIMENTAL SET-UP<br />

Films of silicon oxide and silicon nitride were fabricated for<br />

experimentation to help understand the etching behaviours<br />

during HF etching more clearly. As the study focused on oxide<br />

and nitride films, it was decided that the a practical choice<br />

would that of PECVD oxide and PECVD nitride which were<br />

deposited by an STS multiplex CVD tool. The films had an<br />

approximate thickness of 9300Å and fabricated on 150mm<br />

silicon wafers. Test chips were obtained by dicing the<br />

wafers into 2cm 2 die.<br />

The 2cm 2 die of oxide and nitride were placed side by side<br />

on a clean 6 inch silicon wafer in the process module handler.<br />

The die were then passed into the process module and<br />

processed in the chamber with a recipe based various process<br />

factors. These factors are: aHF etchant gas flow, nitrogen<br />

buffer gas flow, catalyst carrier gas flow, process temperature<br />

and process pressure. A standard “base” recipe was used for<br />

both catalysts. This recipe consisted of a total process gas flow<br />

of 350 standard cubic centimetres per minute (sccm); 150 sccm<br />

aHF etchant gas, 150 sccm nitrogen buffer, and 50 sccm of<br />

nitrogen to be used as a carrier gas for the catalyst bubbler. The<br />

bubbler itself was calibrated so that with a flow of 50 sccm<br />

nitrogen carrier gas, a flow of 50 sccm of catalyst was also<br />

introduced into the process module. The catalyst bubbler had a<br />

carrier gas flowing during the entirety of the etch process.<br />

The temperatures used in this study were a temperature of<br />

25! and a low temperature of 10!. This would provide a<br />

behaviour characteristic for the process at a standard<br />

temperature (25! ) and of a low temperature (10!) for the<br />

process based on the effects of temperature on etching as<br />

discussed previously by J. Anguita, F. Briones [7]. All recipes<br />

would use the same gas flows and etch times with only three<br />

variable factors: the process pressure, the process temperature<br />

and the catalyst carrier gas flow. The carrier gas flows would<br />

be varied between 25 sccm, 50 sccm and 75 sccm to analyse<br />

the effects of different catalyst flows. To maintain the same<br />

total gas flows throughout the each recipe, the nitrogen buffer<br />

gas flow would be varied accordingly along with the catalyst<br />

carrier gas from 175 sccm to 150 sccm and 125 sccm<br />

respectively as the carrier gas flows increased. The two<br />

pressure regimes being used would be a low and high pressure<br />

regime which would allow the analysis of a slow and fast etch<br />

process for both catalysts.<br />

While the first study used the same “base” recipe for both<br />

catalysts as stated above, a second comparable etch process<br />

was also developed for the study whereby the etch rate for the<br />

ethanol process was increased to match that of the water<br />

process. This was necessary in order to obtain a more<br />

conclusive study of etching behaviours for the ethanol process.<br />

The process pressure would be dictated by the pressure<br />

required to achieve a comparable etch rate for both catalysts<br />

with the standard “base” recipe.<br />

For each recipe, pairs of 2cm 2 oxide and nitride die were ran<br />

for 2 and 3 minutes separately. This allowed a a determination<br />

of etch rate for based on etch time. From these results, a value<br />

for selectivity to be obtained for the etch of oxide film against<br />

nitride for this time period.<br />

The experiments were carried out on a memsstar® aHF SVR<br />

platform. In the case of these experiments, along with the use<br />

of anhydrous HF (aHF), nitrogen is used as a buffer gas.<br />

Nitrogen is also used as the carrier gas for the bubbler system<br />

which is used as the source of the process catalyst. Inside the<br />

process module (PM), the aHF and catalyst are introduced via<br />

separate gas distribution ring systems which allow an even<br />

distribution of the reactant gasses. Inside the process module is<br />

a temperature controlled pedestal. This allows for the<br />

temperature at which the sample die sit at within the process<br />

module to be set during the etch process. A basic schematic for<br />

the hardware configuration is shown below:<br />

Fig. 1. Diagram of the experimental set-up.<br />

Fig. 2. Image of the memsstar® SVR platform.<br />

IV.<br />

RESULTS<br />

The experimental details described in section II and III were<br />

used to obtain the results presented in this section. Using fixed<br />

process gas flows and adjusting the catalyst carrier gas flows,<br />

etch process temperature and process pressure, a series of<br />

results were generated to show the behaviour of selectivity for<br />

the two catalysts used in these experiments. To make sure that<br />

the pressures used in the different pressure regimes allow a fair<br />

comparison of the etching behaviour, the total amount of oxide<br />

etched at low pressure with the defined “base” recipe for 3<br />

minutes was set at 1800 Å and at high pressure approximately<br />

<br />

36


!<br />

11-13 May 2011, Aix-en-Provence, France<br />

5700 Å. The etch rates were used as a reference for both the<br />

'!!!"<br />

standard process and the comparable processes developed later<br />

&#!!"<br />

for the ethanol catalyst. After each etching run, the oxide and<br />

&!!!"<br />

nitride chips were placed on a hot plate for 30 seconds at<br />

%#!!"<br />

200! to remove any residue that can be formed on a silicon<br />

%!!!"<br />

$#!!"<br />

nitride surface during HF etching as described in [2].<br />

A. PECVD Oxide and Nitride with Water Catalyst:<br />

At 25!, a low pressure of 8 Torr was used and for a high<br />

pressure process, 11T was satisfactory. This was the standard<br />

“base” recipe of 150 sccm aHF, with the remaining gas flow<br />

from the nitrogen buffer and catalyst flows dependant on the<br />

flow of the carrier gas. That is: 25 sccm and 175 sccm, 50 sccm<br />

and 150 sccm and 75 sccm and 125 sccm respectively for the<br />

carrier gas and nitrogen buffer gas flows. For etching at 10!,<br />

a low pressure of 3.5 Torr and a high pressure of 4.5 Torr were<br />

used. The gas flow of the carrier gas was again varied to study<br />

the behaviour of the catalysts.<br />

!"#$%&'()'*#+%,-.%<br />

$!!!"<br />

#!!"<br />

!"<br />

!" %!" '!" (!" )!"<br />

/'(()+(%0'1%2345%<br />

*+,"<br />

-./001./"<br />

2345/"<br />

*+,"-./01./"<br />

647.45/"<br />

849:"<br />

-./001./"<br />

2345/"<br />

849:"<br />

-./001./"<br />

647.45/"<br />

Fig. 6. Showing the etch variance between a 2 minute and 3 minute etch of<br />

Silicon Oxide to Silicon Nitride in a 10! etch regime at high and low<br />

pressures as a function of water catalyst carrier gas flow.<br />

B. PECVD Oxide and Nitride with Ethanol Catalyst:<br />

A direct comparison of the etch of silicon nitride and oxide<br />

could be conducted using the same gas flows as the water<br />

catalyst tests. At 25!, a high pressure of 11 Torr was used and<br />

a low pressure of 8 Torr was used. At 10!, the high and low<br />

pressures were 4.5 Torr and 3.5 Torr respectively.<br />

!"#"$%&'()*<br />

'%"<br />

'$"<br />

'#"<br />

'!"<br />

&"<br />

%"<br />

$"<br />

#"<br />

!"<br />

!" #!" $!" %!" &!"<br />

+,--'"-*.,/*0#12*3/$$45*<br />

()*"<br />

+,-../,-"<br />

0123"<br />

+,-../,-"<br />

Fig. 3. Selectivity of Silicon Oxide to Silicon Nitride in a 25! etch regime<br />

at high and low pressures as a function of water catalyst carrier gas flow.<br />

!"#$%&'()'*#+%,-.%<br />

&!!!"<br />

%#!!"<br />

%!!!"<br />

$#!!"<br />

$!!!"<br />

#!!"<br />

!"<br />

!" %!" '!" (!" )!"<br />

/'(()+(%0'1%2345%,1##6.%<br />

*+,"<br />

-./001./"<br />

2345/"<br />

*+,"<br />

-./001./"<br />

647.45/"<br />

849:"<br />

-./001./"<br />

2345/"<br />

849:"<br />

-./001./"<br />

647.45/"<br />

Fig. 4. Showing the etch variance between a 2 minute and 3 minute etch of<br />

Silicon Oxide to Silicon Nitride in a 25! etch regime at high and low<br />

pressures as a function of water catalyst carrier gas flow.<br />

!"#"$%&'()*<br />

'#"<br />

'!"<br />

&#"<br />

&!"<br />

%#"<br />

%!"<br />

$#"<br />

$!"<br />

#"<br />

!"<br />

!" %!" '!" (!" )!"<br />

+,--'"-*.,/*0#12*3/$$45*<br />

*+,"<br />

-./001./"<br />

2345"<br />

-./001./"<br />

Fig. 5. Selectivity of Silicon Oxide to Silicon Nitride in a 10! etch regime<br />

at high and low pressures as a function of water catalyst carrier gas flow.<br />

!"#"$%&'()*<br />

"%$<br />

&#$<br />

&%$<br />

#$<br />

%$<br />

!#$<br />

%$ "%$ '%$ (%$ )%$<br />

!&%$<br />

!&#$<br />

!"%$<br />

!"#$<br />

+,--'"-*.,/*0#12*3/$$45*<br />

*+,$<br />

-./001./$<br />

2345$<br />

-./001./$<br />

Fig. 7. Selectivity of Silicon Oxide to Silicon Nitride in a 25! etch regime<br />

at high and low pressures as a function of ethanol catalyst carrier gas flow.<br />

!"#$%&'()'*#+%,-.%<br />

&"#$<br />

&##$<br />

%"#$<br />

%##$<br />

"#$<br />

#$<br />

#$ &#$ '#$ (#$ )#$<br />

!"#$<br />

/'(()+(%0'1%2345%,1##6.%<br />

*+,$<br />

-./001./$<br />

2345/$<br />

*+,$<br />

-./001./$<br />

647.45/$<br />

849:$<br />

-./001./$<br />

2345/$<br />

849:$<br />

-./001./$<br />

647.45/$<br />

Fig. 8. Showing the etch variance between a 2 minute and 3 minute etch of<br />

Silicon Oxide to Silicon Nitride in a 25! etch regime at high and low<br />

pressures as a function of ethanol catalyst carrier gas flow.<br />

!"#"$%&'()*<br />

&#$<br />

"%$<br />

"#$<br />

%$<br />

#$<br />

#$ &#$ '#$ (#$ )#$<br />

!%$<br />

!"#$<br />

+,--'"-*.,/*0#12*3/$$45*<br />

*+,$-./001./$<br />

2345$-./001./$<br />

Fig. 9. Selectivity of Silicon Oxide to Silicon Nitride in a 10! etch regime<br />

at high and low pressures as a function of the catalyst carrier gas flow.<br />

<br />

37


!<br />

!"#$%&'()'*#+%,-.%<br />

(##$<br />

'#$<br />

&#$<br />

%#$<br />

"#$<br />

#$<br />

!"#$<br />

#$ "#$ %#$ &#$ '#$<br />

/'(()+(%0'1%2345%<br />

)*+$<br />

,-.//0-.$<br />

1234.$<br />

)*+$<br />

,-.//0-.$<br />

536-34.$<br />

7389$<br />

,-.//0-.$<br />

1234.$<br />

7389$<br />

,-.//0-.$<br />

536-34.$<br />

Fig. 10. Showing the etch variance between a 2 minute and 3 minute etch of<br />

Silicon Oxide to Silicon Nitride in a 10! etch regime at high and low<br />

pressures as a function of the catalyst carrier gas flow.<br />

C. PECVD Oxide and Nitride with Ethanol Catalyst<br />

(Comparable Etch Rate Process Comparison):<br />

A discussed in section II, a process to produce a comparable<br />

etch rate to the water catalyst process was developed to analyse<br />

the effects of the selectivity for the ethanol catalyst process.<br />

This was carried out as there were no clear behaviour<br />

characteristics from the direct recipe comparison of ethanol<br />

from the previous section. For a low pressure regime, the<br />

criteria was that with the “base” recipe (150 sccm aHF, 150<br />

sccm nitrogen buffer and 50 sccm catalyst carrier gas etched<br />

and etching time of 3 minutes), the total amount of etched<br />

oxide should equal in the region of 1800Å. For a high pressure<br />

regime, the total etched oxide for the process should equal<br />

approximately 5700Å. For the 25! process, a low pressure of<br />

24 Torr was used and a high pressure of 35 Torr was required.<br />

At 10!, a low pressure of 12 Torr provided the right value for<br />

total etched oxide and at high pressure, a pressure of 28 Torr<br />

was used.<br />

!"#"$%&'()*<br />

'#"<br />

'!"<br />

&"<br />

%"<br />

$"<br />

#"<br />

!"<br />

!" #!" $!" %!" &!"<br />

+,--'"-*.,/*0#12*3/$$45*<br />

()*"<br />

+,-../,-"<br />

0123"<br />

+,-../,-"<br />

Fig. 11. Selectivity of Silicon Oxide to Silicon Nitride in a 25! etch regime<br />

at high and low pressures as a function of ethanol catalyst carrier gas flow.<br />

%#!!"<br />

11-13 May 2011, Aix-en-Provence, France<br />

!"#"$%&'()*<br />

'#"<br />

'!"<br />

&"<br />

%"<br />

$"<br />

#"<br />

!"<br />

!" #!" $!" %!" &!"<br />

+,--'"-*.,/*0#12*3/$$45*<br />

()*"<br />

+,-../,-"<br />

0123"<br />

+,-../,-"<br />

Fig. 13. Selectivity of Silicon Oxide to Silicon Nitride in a 10! etch regime<br />

at high and low pressures as a function of ethanol catalyst carrier gas flow.<br />

!"#$%&'()'*#+%,-.%<br />

#!!!"<br />

'&!!"<br />

'%!!"<br />

'$!!"<br />

'#!!"<br />

'!!!"<br />

&!!"<br />

%!!"<br />

$!!"<br />

#!!"<br />

!"<br />

!" #!" $!" %!" &!"<br />

/'(()+(%0'1%2345%,1##6.%<br />

()*"<br />

+,-../,-"<br />

0123-"<br />

()*"<br />

+,-../,-"<br />

425,23-"<br />

6278"<br />

+,-../,-"<br />

0123-"<br />

6278"<br />

+,-../,-"<br />

425,23-"<br />

Fig. 14. Showing the etch variance between a 2 minute and 3 minute etch of<br />

Silicon Oxide to Silicon Nitride in a 10! etch regime at high and low<br />

pressures as a function of ethanol catalyst carrier gas flow.<br />

TABLE 1<br />

SUMMARY OF SELECTIVITIES WITH DIRECT COMPARISON OF ETCH PROCESS<br />

Pressure/<br />

Carrier Gas<br />

Flow<br />

Water, 25!<br />

Ethanol,<br />

25!<br />

8T/25sccm 2.8 2.2<br />

8T/50sccm 7.1 2.5<br />

8T/75sccm 5.6 2.2<br />

11T/<br />

25sccm<br />

11T/<br />

50sccm<br />

11T/<br />

75sccm<br />

12.5 1.5<br />

13.9 -21.6<br />

14 15.3<br />

Pressure/<br />

Carrier Gas<br />

Flow<br />

3.5T/<br />

25sccm<br />

3.5T/<br />

50sccm<br />

3.5T/<br />

75sccm<br />

4.5T/<br />

25sccm<br />

4.5T/<br />

50sccm<br />

4.5T/<br />

75sccm<br />

Water, 10!<br />

Ethanol,<br />

10!<br />

17.6 -8.8<br />

17.4 6.1<br />

21.5 3<br />

33.9 5.6<br />

37.8 -5<br />

39.5 14<br />

TABLE 2<br />

SUMMARY OF SELECTIVITIES OF WATER CATALYST PROCESS AND COMPARABLE<br />

ETCH ETHANOL PROCESS AT 25!<br />

Pressure/<br />

Carrier Gas<br />

Flow<br />

Water, 25!<br />

8T/25sccm 2.8<br />

8T/50sccm 7.1<br />

Pressure/<br />

Carrier Gas<br />

Flow<br />

24T/<br />

25sccm<br />

24T/<br />

50sccm<br />

Ethanol,<br />

25!<br />

10<br />

6.1<br />

!"#$%&'()'*#+%,-.%<br />

%!!!"<br />

$#!!"<br />

$!!!"<br />

#!!"<br />

!"<br />

!" %!" &!" '!" (!"<br />

/'(()+(%0'1%2345%,1##6.%<br />

)*+"<br />

,-.//0-."<br />

1234."<br />

)*+"<br />

,-.//0-."<br />

536-34."<br />

7389"<br />

,-.//0-."<br />

1234."<br />

7389"<br />

,-.//0-."<br />

536-34."<br />

Fig. 12. Showing the etch variance between a 2 minute and 3 minute etch of<br />

Silicon Oxide to Silicon Nitride in a 25! etch regime at high and low<br />

pressures as a function of ethanol catalyst carrier gas flow.<br />

8T/75sccm 5.6<br />

11T/<br />

25sccm<br />

11T/<br />

50sccm<br />

11T/<br />

75sccm<br />

12.5<br />

13.9<br />

14<br />

24T/<br />

75sccm<br />

35T/<br />

25sccm<br />

35T/<br />

50sccm<br />

35T/<br />

75sccm<br />

6.7<br />

7.9<br />

8<br />

5.5<br />

<br />

38


!<br />

TABLE 3<br />

SUMMARY OF SELECTIVITIES OF WATER CATALYST PROCESS AND COMPARABLE<br />

ETCH ETHANOL PROCESS AT 10!<br />

Pressure/<br />

Carrier Gas<br />

Flow<br />

3.5T/<br />

25sccm<br />

3.5T/<br />

50sccm<br />

3.5T/<br />

75sccm<br />

4.5T/<br />

25sccm<br />

4.5T/<br />

50sccm<br />

4.5T/<br />

75sccm<br />

Water, 10!<br />

17.6<br />

17.4<br />

21.5<br />

33.9<br />

37.8<br />

39.5<br />

Pressure/<br />

Carrier Gas<br />

Flow<br />

12T/<br />

25sccm<br />

12T/<br />

50sccm<br />

12T/<br />

75sccm<br />

28T/<br />

25sccm<br />

28T/<br />

50sccm<br />

28T/<br />

75sccm<br />

Ethanol,<br />

10!<br />

9.6<br />

9.8<br />

9.1<br />

7.1<br />

6.4<br />

6.2<br />

11-13 May 2011, Aix-en-Provence, France<br />

the oxide and nitride films. The etch rate of the oxide chips was<br />

therefor much more substantial at higher pressures.<br />

Changing the flow of the catalysts was another factor which<br />

was changed throughout these experiments. From these<br />

experiments, an etching behaviour could be determined for this<br />

process factor. The effect of increasing the flow during the<br />

process was seen to help increase the etch rate of both the<br />

oxide and nitride films, but not nearly to the extent that was<br />

expected. It was also clear from the results with the water<br />

catalyst that changing the catalyst carrier gas flows at low<br />

temperatures had a much more linear affect to the etch rate than<br />

at a higher temperature. It is not obvious from these<br />

experiments as to whether this effect is tied solely to the<br />

increase in catalyst to the etch of if it is tied also to the lower<br />

processing temperature which inherently provides a much<br />

faster etch as discussed previously.<br />

V. DISCUSSION<br />

A. PECVD Oxide and PECVD Nitride with Water Catalyst:<br />

The etching of silicon oxide and nitride films with a water<br />

catalyst seen to provide the greatest overall selectivities during<br />

this study. As is commonly reported, the etch rate of silicon<br />

oxide films at both 25! and 10! is faster. This is typically<br />

due to the oxide films have a higher moisture content than their<br />

nitride counterparts. The effect is much more pronounced<br />

during 10! etching process also as at lower temperatures there<br />

tends to be a higher moisture content within the process<br />

module than at 25! as the higher temperature tends to drive<br />

out any additional moisture which resides on or in the films.<br />

This is why a slower etch is generally observed for oxide and<br />

nitride films at higher temperatures. The key observation here<br />

is that while the nitride etches faster with an increase in<br />

temperature at both 10! and 25!, the amount of nitride<br />

actually etched at lower temperatures is markedly less at lower<br />

temperatures. This suggests that with an increase in available<br />

water vapour in the chamber because of the lower temperature,<br />

the oxide is then preferentially etched over the nitride film.<br />

While the oxide film may have etched to approximately equal<br />

amounts in both low temperature and high temperature<br />

conditions, nitride etching can be lessened because the water<br />

vapour will tend to etch the oxide more readily than the nitride.<br />

This much more preferential etching of oxide over nitride at<br />

low temperatures is why a much higher selectivity between the<br />

two films is observed here.<br />

With respect to process pressure, it is also accepted that<br />

higher pressures produce a faster etch process than lower<br />

pressures. This is associated with the increase in residence time<br />

of the etchant gasses. To maintain a higher pressure within the<br />

process module, the chamber pumping line is more highly<br />

restricted meaning that the etch gasses have a longer period of<br />

time in which to react with the surface of the structures. With a<br />

greater level of reaction, a higher level of resultant by product<br />

is created of which water vapour is one. By creating more<br />

water vapour in the chamber which is not pumping as strongly<br />

as at lower pressures, this additional water vapour produced<br />

will add to the etch process producing a faster etch rate for both<br />

B. PECVD Oxide and PECVD Nitride with Ethanol Catalyst<br />

(Direct Water Catalyst Process Comparison):<br />

Comparing the water process directly with the ethanol<br />

etching process using the same process parameters allows a<br />

look into the main differences of the etch. What was observed<br />

were results that did not typically fit known behaviours for<br />

silicon oxide etching.<br />

Firstly, the highest selectivities were observed at higher<br />

temperatures which is unlike the results seen with water as a<br />

catalyst. This may however, not be correct to presume as there<br />

was very little etching took place in the ethanol etch processes<br />

with which to build an accurate picture. What we can say from<br />

this etching process is that using ethanol in a direct comparison<br />

of processes cannot provide as fast an oxide etch as that with a<br />

water catalyst but is still capable of providing a reasonable etch<br />

rate to nitride films. It was also seen that there were times<br />

when measurements from a 2 minute etch were higher than that<br />

of the 3 minute etch. This provided a negative selectivity as can<br />

be seen in Fig. 7 and Fig. 9. The error for the film etch<br />

measurements may also be in the error of the nanospec<br />

microscope used for measuring the films as the amounts being<br />

etched were found to be at the lower limits for accuracy that it<br />

can deal with.<br />

With respect to the etch pressures, in both the low and high<br />

temperature processes, it is difficult suggest which process<br />

provided the better selectivity as a whole (excluding of course<br />

the negative selectivities as already accounted for). In low<br />

pressure regimes, it was seen that as the carrier gas flow for the<br />

catalyst was increased, selectivity dropped off. This suggests<br />

that higher levels of ethanol in the chamber etch nitride films<br />

more readily than that of the oxide films which is counter to the<br />

focus of this study. In high pressure regimes at both low and<br />

high temperatures, the highest selectivities are found as<br />

expected when there is a higher carrier gas flow for the catalyst<br />

however the highest selectivity is found at 25!, this would<br />

suggest that the effects of the water vapour from the etch of the<br />

oxide film is not as influential as it is with a water based<br />

catalyst process. For the low temperature processes, the<br />

selectivities were higher at a high pressure as expected but only<br />

with a value of 14:1 versus the water catalyst process<br />

selectivity of 40:1. The low temperature process provided one<br />

of the highest selectivities of 15:1 but as stated earlier, it is hard<br />

<br />

39


!<br />

to be completely certain as to the accuracy of this value as the<br />

film measurements were of such a small value that it was in the<br />

lower accuracy regions of the microscope. The variation of<br />

carrier gas flows did not improve the selectivity as observed<br />

with the water catalyst process. It in fact caused a decrease in<br />

selectivity during low pressure regimes at both temperature<br />

ranges as stated earlier. At high pressure regimes, the increase<br />

behaved more as expected and did provide higher selectivities<br />

at their highest flow values.<br />

C. PECVD Oxide and PECVD Nitride with Ethanol Catalyst<br />

(Comparable Etch Rate Process Comparison):<br />

Comparing the etch rates and selectivities of a comparable<br />

etch against the water catalyst process provides a clearer<br />

picture than the direct comparison experiments. It can be seen<br />

that the highest selectivities are obtained interestingly at low<br />

pressures where a higher level of the etch by products are being<br />

pumped away and as such have a lower residence time for the<br />

etch gasses.<br />

Looking at the behaviour of the etch with respect to the<br />

pressures, it can be seen that while higher pressures cause a<br />

higher etch level, the overall values of etched oxide decrease as<br />

the etch nitride levels increase when the carrier gas flow is<br />

increased throughout all the tests carried out in this regime.<br />

This would show some agreement with the direct ethanol<br />

comparison results which suggest that as a higher level of<br />

ethanol is introduced into the process module, nitride films<br />

begin to etch at a faster rate than that of oxide films. High<br />

temperature processes provided inconsistent selectivity values<br />

where the low temperature processes did provide a more<br />

consistent level of selectivity. At 25!, the selectivities were all<br />

reasonably close in value which suggests that an ethanol<br />

catalyst either does not etch the oxide as quickly as a water<br />

catalyst or that the nitride films etch equally as fast regardless<br />

of the pressure such that when the pressure increases, the<br />

nitride etch increases at an equivalent rate as the oxide making<br />

it difficult to achieve an improvement in selectivity.<br />

A key point that should be discussed with regards to the<br />

comparable etch processes is that the pressures required to<br />

provide comparable etch rates were very high (as high as 35<br />

Torr at 25!), which would allow a very high residence time<br />

for the etch gasses within the process module. This in turn<br />

would allow the water vapour produced from the oxide film<br />

etching to reside in the chamber for a long period of time. One<br />

must ask the question of how much of the etching is in fact due<br />

to the ethanol catalyst and how much is from the water<br />

produced from the reaction in the process module.<br />

11-13 May 2011, Aix-en-Provence, France<br />

process achieved a selectivity of 10:1. This initial study is an<br />

investigation solely into the effects of the catalysts on vapour<br />

phase HF etching of sacrificial materials for MEMS<br />

manufacturing. A more detailed investigation of the etching<br />

method is being undertaken and the results will be published in<br />

the future.<br />

ACKNOWLEDGMENT<br />

The authors wish to acknowledge the Engineering and<br />

Physical Sciences Research Council (EPSRC) for their<br />

financial support through the Engineering Doctorate<br />

programme. They are also grateful to the Scottish<br />

Microelectronic Centre for their assistance in the fabrication of<br />

the samples for this study.<br />

REFERENCES<br />

[1] P.J. Holmes and J.E. Snell, “A vapour etching technique for the<br />

photolithography of silicon dioxide,” Microelectronics Reliability, vol. 5,<br />

issue 4, Pages 337-341, November 1966.<br />

[2] Gregory Van Barel, Luc Mertens, Ward De Ceuninck and Ann Witvrouw.<br />

“Apparent and steady-state etch rates in thin film etching and underetching<br />

of microstructures: I. Modelling,” Journal of Micromechanics and<br />

Microengineering, Volume 20, Number 5, 2010.<br />

[3] Gregory Van Barel1,2,4, Bert Du Bois1, Rita Van Hoof1, Jef De Wachter3,<br />

Ward De Ceuninck2 and Ann Witvrouw1, “Apparent and steady-state etch<br />

rates in thin film etching and under-etching of microstructures: II.<br />

Characterization,” Journal of Micromechanics and Microengineering,<br />

Volume 20, Number 5, 2010.<br />

[4] B. Du Bois, G. Vereecke, A. Witvrouw, P. De Moor, C. Van Hoof, A. De<br />

Caussemaeker, A. Verbist, “A comparison between wet HF etching and<br />

vapor HF etching for sacrificial oxide removal,” Proc. SPIE vol. 4174,<br />

pages 130-141, Micromachining and Microfabrication Process Technology<br />

VI, September 2000.<br />

[5] Chang-Jin Kim, John Y. Kim, Balaji Sridharan, “Comparative evaluation<br />

of drying techniques for surface micromachining,” Sensors and Actuators<br />

A 64, pp. 17-26, 1998.<br />

[6] E. Forsén, Z.J Davis, M. Dong, S.G. Nilsson, L. Montelius and A. Boisen,<br />

“Dry release of suspended nanostructures,” Microelectronic Engineering<br />

73-74, pp. 487-490, 2004<br />

[7] J. Anguita, F. Briones, “HF/H2O vapor etching of SiO2 sacrificial layers<br />

for large-area surface-micromachined membranes,” Sensors and Actuators<br />

A 64, pp./ 247-251, 1998.<br />

VI.<br />

CONCLUSIONS & FUTURE WORK<br />

A comparative study of water and ethanol as catalysts for an<br />

anhydrous Hydrogen Fluoride vapour phase etching of silicon<br />

dioxide and silicon nitride has been described. The results<br />

show that with the regimes defined in this work that the best<br />

selectivity can be achieved using water as the etch catalyst at<br />

low temperature and high pressure conditions. A selectivity of<br />

40:1 has been obtained. Under comparable etching conditions<br />

the best selectivity for ethanol as a process catalyst is 15:1.<br />

While comparable etch rates to that of the water catalyst<br />

<br />

40


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Agile MEMS packaging<br />

for mass customized MEMS products<br />

Jens G. Kaufmann, David Flynn, Keith Brown, Marc P.Y. Desmulliez<br />

Heriot-Watt University<br />

School of Engineering and Physical Sciences<br />

Edinburgh, EH14 4AS, Scotland, UK<br />

jens@jens-kaufmann.net<br />

Abstract - The market for micro electromechanical systems<br />

(MEMS) is becoming increasingly competitive especially for<br />

small and medium sized enterprises. The progressing trend in<br />

mass manufacturing leads to very few competitors that dominate<br />

the MEMS market. This competitive environment results in<br />

cheap devices with limited variation, therefore opportunities at<br />

the tail end of the market are usually neglected. The MEMS<br />

research community predominantly focuses on new device types<br />

and manufacturing methods with a high impact factor and those<br />

are naturally settled in the mass market segments.<br />

This paper introduces an approach for research and<br />

development that aims to open the opportunities within the long<br />

tail of the market. It consists mostly of a combination of new<br />

design approaches for MEMS and packaging, software tools and<br />

digital manufacturing technologies to ensure that solutions<br />

developed are ones that can be adapted to different customer<br />

requirement on a level that is perhaps uncommon in the MEMS<br />

community.<br />

I. INTRODUCTION<br />

The high investment costs involved with producing MEMS<br />

have in the past proven to put an emphasis on devices and<br />

products for the mass market [1]. Even products that can have<br />

revolutionary properties for some applications can take<br />

decades to arrive in the commercial markets. This is often due<br />

to a limit sized market and high development and<br />

manufacturing cost of MEMS and therefore often rejected<br />

until the market potential becomes large enough to justify the<br />

common mass manufacturing approach [2].<br />

The obsolescence of older aircrafts as well as the enormous<br />

investments required to replace them, has stimulated an<br />

increasing demand for innovative service concepts in the<br />

avionics industry [3]. One of the key areas to keep an aircraft<br />

flying is the condition of the electrical systems. However<br />

faults in the wiring harnesses are highly difficult to detect [4].<br />

To overcome these issues, a new sensor approach was<br />

developed based on a distributed sensor network on board the<br />

aircraft itself. [5] To make this technology available to the<br />

ageing aircraft market it was necessary to incorporate the<br />

specific requirements of the market. The large variety of<br />

geometry, material combination and signals employed for<br />

aircraft wiring over the last 70 years, not only between models<br />

of aircraft but also amogst planes of the same model, makes it<br />

impossible to develop a one-fits-all solution hence mass<br />

manufacturing of the sensor product is not a viable<br />

proposition.<br />

II. MASS CUSTOMISATION OF MEMS PACKAGING<br />

The ability to manufacture responsively is one of the principal<br />

requirements for commercial MEMS products. The<br />

automotive industry was the first to successfully optimize the<br />

production of their MEMS devices, followed by the consumer<br />

electronics and telecommunications industry. To achieve these<br />

commercial volumes, the types of devices were limited.<br />

New forms of MEMS devices have problems presenting an<br />

attractive business opportunity, if they have a limited range of<br />

applications. The resulting niche of potential customers is<br />

often too small to justify large-scale productions that come<br />

with the current methods of MEMS manufacturing. [1]<br />

Even though this seems to be a problem that is difficult to<br />

overcome, packaging as a support technology allows, in many<br />

cases, the properties of a MEMS device to be extended into a<br />

previously inaccessible application.<br />

As with MEMS themselves the packaging technology is<br />

mainly derived from the mass manufacturing methods from<br />

electronics manufacturing and consequently suffers from<br />

similar constraints. As a result of this, change has a high<br />

impact on the entire product lifecycle and neither the<br />

conventional design, manufacturing nor test tools have the<br />

capacity to deal with an agile design and cost competitive<br />

product requirement.<br />

In this paper the Health and Usage Monitoring MicroSystems<br />

(HUMMS) that are shown below demonstrate the vairous<br />

strategies that were employed in the different stages of its<br />

product life cycle to avoid impact of change originated from<br />

the customer and allow selling of MEMS into the long tail<br />

market of maintenance of ageing aircrafts. [5]<br />

III. DESIGN CONCEPTS<br />

Overview<br />

The way the product was conceptualized is based on four<br />

different concepts: Axiomatic design (AD), Generative design<br />

(GD), Rapid manufacturing (RM), which allow for an<br />

integrated design and manufacturing approach, and Automated<br />

Testing. AD is the overarching design framework, GD is what<br />

41


models the framework and the associated (rapid)<br />

manufacturing in software. The testing enables the quality<br />

management of the system and the model that the designer has<br />

of the processes involved.<br />

Axiomatic Design<br />

An Axiom driven Design process allows the business to<br />

establish interactions between user requirements, design<br />

solutions and manufacturing processes. Impacts of change can<br />

be retraced top down as well as bottom up [6]. As can be seen<br />

in Figure 1, Axiomatic Design divides the problem space into<br />

four domains:<br />

• Requirement domain<br />

• Functional domain<br />

• Physical domain<br />

• Process domain<br />

Within each domain there are independent nodes that are<br />

always caused by another node that is not on the same level.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

established by the Axiomatic Design. Using this concept, user<br />

requirements are no longer static but dynamic with the<br />

changing variables. The capabilities of generative design also<br />

called explicit history design are closer to a programming<br />

environment rather then to a classical Computer Aided Design<br />

(CAD). Parametric CAD today already allows for change<br />

management but not of the magnitude required. Being<br />

optimized for the workflow of a certain user, product<br />

designers, architects, mechanical or electrical engineers,<br />

commercial Computer Aided Design packages are to limited<br />

for all tasks required [7].<br />

Generative Design, as employed by many modern architects,<br />

requires much more work to create design files but allows for<br />

quasi real-time interaction with input parameters, even over<br />

the World Wide Web. Some samples can be seen in Figure 2.<br />

Figure 2: Computationally generated current sensors.<br />

The tool chosen to implement the GD approach was the<br />

grasshopper3D plug-in within Rhino3D, version 4. This was<br />

later linked to a web service that allows for data entering at the<br />

customer's side.<br />

Figure 1: Domains of Axiomatic Design<br />

For example, a customer wants to monitor a certain wire. That<br />

customer requirement, R1, spawns some functional<br />

requirements F1 and F2. Let’s assume F1 is: “the product must<br />

be mounted to the wire”. And F2 is “product must be sensing<br />

the wire performance”. To do so F2 spans Pa3 in the physical<br />

domain, which is a “current sensor”. The Pa3 can’t function<br />

without some support. So Pa3 causes a new functional<br />

requirement, F3, support infrastructure and so on.<br />

The way a design problem is seen in AD, as a mathematical<br />

graph with no circular dependency, makes it a perfect match<br />

for Generative Design (GD) techniques. The trick is to be able<br />

to quantify the relationships between the nodes and to make<br />

sure that no dependencies are circular. In this case study, the<br />

design was complex and derived using data gathering form<br />

potential customers in the aircraft industry.<br />

Rapid Manufacturing<br />

Given the mesoscale of the packaging intended for the<br />

applications there is a large variety of rapid manufacturing,<br />

also called Digital Manufacturing processes, that can deliver<br />

the required properties inclusive of a versatile fabrication<br />

attributes. In the case study presented, classical 3D printing<br />

and fused deposition modeling were employed to manufacture<br />

the structural 3D parts of the system. The difference in build<br />

quality can be seen in Figure number 3.<br />

Generative Design<br />

The Generative Design approach was chosen to build a suite<br />

of dedicated design tools that implement the requirements<br />

42


11-13 May 2011, Aix-en-Provence, France<br />

<br />

! "<br />

#<br />

%<br />

$<br />

&<br />

Figure 4: System concept of the onboard units:<br />

! Aircraft wire<br />

" Current sensor<br />

# 3D core part<br />

$ Flex circuit<br />

% Batteries<br />

& Main controller and<br />

environmental sensor board<br />

Figure 3: Fused Deposition Modeling (left), solvent based 3D<br />

printing (right)<br />

A CNC CO 2 laser cutter was also used to pattern the flex<br />

circuits that form the enclosure, the electrical connections and<br />

mount the system onto the wire that needs to be monitored.<br />

Automated testing<br />

The testing is implemented on two levels, the component level<br />

which is done mostly by the supplier of the individual parts as<br />

well as an automated 3D machine for the 3D parts. The second<br />

level is the functional test of the entire system. This allows, in<br />

conjunction with the axiomatic design model, for testing of the<br />

entire unit, not just the parts but also the processes and the<br />

validity of the design model itself.<br />

IV. IMPLEMENTATION<br />

The HUMMS design<br />

The product is designed for retrofitting on existing wiring on<br />

board of an aircraft. The system had to be mounted to the wire<br />

to enable the user to gather in flight health data. An intrusive<br />

way like splicing into the wire as well as exchanging part like<br />

the connector was not an option for the customers questioned.<br />

The initial design idea was a multi-sensor tag that would<br />

monitor the environmental conditions as well as monitoring<br />

the signal of the wire, the latter through the use of a micro coil<br />

as shown in Figure 4.<br />

After several design iterations, the prototypes shown below in<br />

Figure 6, which is a combination of RM part and self selfadhesive<br />

flex PCB, were chosen and implemented in<br />

grasshopper.<br />

The grasshopper3D implementation<br />

The implementation of the design idea is done in 3 layers<br />

depending on their interdependencies. Primary parts are parts<br />

that can be directly derived from customer requirements and<br />

have prerequisites that are influenced by other parts and of the<br />

shelf components. Secondary parts take the information from<br />

the requirements and then design components as shown in<br />

Figure 5.. It controls the configuration files for the host and<br />

embedded software. The final layer is the generation of the<br />

manufacturing files.<br />

Figure 5: Visualization of the real dimensions in the desired structure<br />

of a low fidelity print.<br />

3D freeform modeling<br />

Even though the 3D Systems rapid prototyping system is not<br />

able to produce aircraft certified parts it is sufficient to check<br />

43


11-13 May 2011, Aix-en Provence, France<br />

<br />

the validity of the process in a prototyping stage. But our<br />

industrial partners have made certifiable parts on machines<br />

from EOS in Germany and Fused Deposition Modeling<br />

machines form Stratasys.<br />

The 3D printing approach chosen generates a 3D structure by<br />

layering a mineral powder in a container in the z-axis<br />

moveable platform. It fixes the powder in place by ink jetting<br />

a binder on the surfaces of the desired cross section and so<br />

rendering it solid. The repetition of the process after a few<br />

layers of powder is applied to generate the 3D part, as show in<br />

Figure 6.<br />

Figure 7: assembled Humms onboard unite<br />

Figure 6: 3D part variations based on the different current sensors.<br />

After printing, the part needs to be freed from the excessive<br />

powder and fixed with one of several agents that can vary<br />

based on the desired properties of the part. In the parts<br />

demonstrated cyanoacrylate was used which gives the part a<br />

very strong structural integrity but is not as heavy as a part<br />

infiltrated with an epoxy resin.<br />

Laser structuring of flexible printed circuit boards.<br />

To form the flex PCB a copper coated (9!m) polyimide film is<br />

coated with anacrylic black paint. This paint is removed with<br />

the CO 2 laser and then etched. After the etch, the rest of the<br />

resist is removed in an acetone bath. Then the adhesive film is<br />

patterned and applied to the flex PCB. The advantage of this<br />

method, compared to electroforming for example, is again the<br />

flexibility and speed of the process. By using a spray on resist<br />

the shape of the circuit is unimportant and by using the laser<br />

we can shape a circuit that is within the capabilities of the<br />

machine.<br />

Assembled system<br />

All components are assembled by hand as pictured in Figure 7<br />

and, with the protective film of the PCB completely removed,<br />

the system can be mounted to the wire.<br />

V. RESULTS<br />

The work conducted demonstrates that ”batch-of-one” MEMS<br />

applications can be viable from a business perspective if<br />

modern design methodologies are combined with rapid<br />

manufacturing within the MEMS domain. The work has also<br />

shown that 24h iteration from customer requirements to a<br />

manufactured and tested product is possible.<br />

The test of the system showed (Figure 7) that it the system<br />

could deliver an even better result then a macroscale current<br />

pickup from Pearson’s reference coil in the range from 1-<br />

10MHZ.<br />

Figure 7: Oscilloscope display when sending a 2 MHz sine wave<br />

along the WUT. Blue trace is the micro Rogowski sensor; orange<br />

trace is the Pearson reference coil.<br />

The systems environmental sensors on the main rigid circuit<br />

board also allow for temperature (-200º-200ºC) acceleration (-<br />

5g – +5g) in x, y and z and humidity pickup (10% - 99.8%<br />

rH). The employed sensors transmitting their data over a wired<br />

connection to a host system that was compiled for MacOS,<br />

Windows and Linux systems and can forward the data to any<br />

remote iOS device as show in Figure 8.<br />

44


11-13 May 2011, Aix-en-Provence, France<br />

<br />

ACKNOWLEDGMENT<br />

The development team also would like to acknowledge the<br />

support and information made available by Ultra Electronics-<br />

Electrics and the Ministry of Defense.<br />

Without the continuous feedback from the commercial as well<br />

as the technical divisions within Ultra and the service men and<br />

women from the MoD that grounded the project in reality, the<br />

project would not have succeeded.<br />

Figure 8: Remote environmental monitoring interface host software<br />

and the iPhone and iPad clients.<br />

VI.<br />

FUTURE WORK<br />

The system will use several service providers to manufacture<br />

the parts with different RM processes and materials to analyze<br />

the impact of those on the capabilities of the united and so<br />

refine the model and widen its application to new products.<br />

Furthermore other potential application areas will be explored.<br />

Together with a small team the author is in the process of<br />

forming EnvironMEMS, a design house that delivers rapidly<br />

developed environmental monitoring based on the technology<br />

above.<br />

REFERENCES<br />

[1] Senturia, “Perspectives on MEMS Past and Future:<br />

the Torturous Pathway from the Bright Ideas to Real<br />

Products.”, Digest Tech - Papers Transducers ’03<br />

Conference, 2003<br />

[2] Anderson, “The Long Tail”, Wired US, October 2004<br />

[3] Furse and Haupt – “Down to the wire [aircraft<br />

wiring].”, IEEE Spectrum (2001) vol. 38 (2) pp. 34-<br />

39<br />

[4] Sullivan and Slenski “Managing Electrical<br />

Connection Systems and Wire Integrity on Legacy<br />

Aerospace Vehicles” Proceedings of the FAA<br />

Principal Inspectors and Engineers Workshop, 2001<br />

[5] Moffat et al – “MEMS Sensors and High Frequency<br />

Test Techniques for Prognostic Health Management<br />

of Aircraft Wiring.” <strong>Online</strong>-http://www.patentdfmm.org/site/Restricted/CDROM2005/WP7/D7.9B<br />

CF_Report_1.pdf , Workshop 2005<br />

[6] Kim. “AXIOMATIC DESIGN OF MULTI-SCALE<br />

SYSTEMS.” Proceedings of ICAD2004 The Third<br />

International Conference on Axiomatic Design<br />

(2004) pp. 1-5<br />

[7] McCormack et al. “Generative design: a paradigm for<br />

design research.” Proceedings of Future ground,<br />

Design Research Society, Melbourne (2004)<br />

45


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Wafer-Level Glass-Caps for Advanced Optical Applications<br />

Juergen Leib, Oliver Gyenge, Ulli Hansen, Simon Maus, Karin Hauck * , Kai Zoschke * , Michael Toepper *<br />

MSG Lithoglas AG<br />

Gustav-Meyer-Allee 25, 13355 Berlin, Germany<br />

juergen.leib@lithoglas.de<br />

* Fraunhofer Institute for Reliability and Microintegration, Berlin<br />

Gustav-Meyer-Allee 25, 13355 Berlin, Germany<br />

Abstract<br />

A novel process flow to manufacture miniaturized optical<br />

windows on wafer-level is presented. Those windows can be<br />

used for miniaturized optical products like high-brightness<br />

LEDs (HB-LED) and digital projection (DLP) as well as more<br />

complex optical data-communication, since integrated optical<br />

functions can be implemented with low tolerances.<br />

We explain the fabrication of cap-wafers having a shallow<br />

cavity with a depth of typically 10 µm used in photo sensors<br />

and a unique manufacturing process for cap-wafers with a<br />

deep cavity of e.g. 300 µm used in LED packaging.<br />

Those cap-wafers are used in wafer-level integration of<br />

advanced, miniaturized optical products. We discuss two<br />

options for wafer bonding i.e. bonding using adhesive as well<br />

as anodic bonding.<br />

As an example on product level a miniaturized photo<br />

sensor package, a pressure sensor package as well as a LED<br />

package is discussed.<br />

Wafer-Level Capping of Optical and MEMS Devices<br />

Wafer level packaging of optical devices is becoming<br />

more and more mainstream [1]. Beside the overall deciding<br />

advantage of costs per die and system yield, performance and<br />

reliability targets can be matched today for a wide variety of<br />

applications.<br />

Especially for optical devices it is very important to seal<br />

the (particle) sensitive areas of the chip as early as possible in<br />

the assembly process in order to avoid yield loss due to<br />

particle contamination. This issue is well-known from image<br />

sensor modules [2] and was one of the main drivers for the<br />

early adoption of wafer-level-packaging for camera module<br />

packaging. In the effort to seal off the optical devices as early<br />

as possible in the packaging process, we have shown that the<br />

overall assembly yield can be increased dramatically by<br />

introducing a wafer-level-capping process prior to<br />

conventional Chip-On-Board (COB) packaging and at the<br />

same time reducing the system costs.<br />

Depending on the method of micro structuring of the cap<br />

wafer, the individual caps may provide a cavity for the<br />

encapsulated devices. These cavities being obvious and wellknown<br />

for MEMS are also required for optical applications<br />

like MOEMS or image sensors – e.g. if these have micro<br />

lenses on the optical area of a camera chip. However, for<br />

optical chips the cavity, the glass cap and their relevant<br />

surfaces in particular, are contributing to the overall optical<br />

performance of the device. Therefore their tolerances and<br />

quality have to meet stringent optical requirements.<br />

As an example the wafer level package of miniaturized<br />

photodiodes used for high density optical storage (Fig. 1) is<br />

discussed, demanding special attention on advanced optical<br />

performance, UV stability and high reliability. Since intensive<br />

blue laser light (405 nm) is used, a glass window package is<br />

considered to perfectly meet the needs for long term stability<br />

and performance.<br />

Fig. 1a) Miniaturized glass cavity windows on product wafer<br />

Fig. 1b) Typical glass cavity window after dicing bonded<br />

to a device wafer. A 10 µm high Lithoglas glass rim on the<br />

cover glass acts as the bond frame with a total width of 100<br />

µm forming an optical cavity over the functional area of the<br />

device.<br />

Having the devices protected very early in the assembly<br />

process, conventional COB assembly – including wirebonding<br />

and molding – can be performed on standard<br />

equipment in a cost competitive environment with high<br />

component yields being achieved. Beside significantly lower<br />

overall costs, the wafer level capping process does offer low<br />

profile and small dimensions of the final package as well as<br />

compliance with standard wafer design rules. This way it is<br />

outperforming other packaging approaches [3].<br />

Novel Fabrication Process for Optical Cap-Wafers<br />

46


The fabrication of optical Cap-Wafers, i.e. the fabrication of<br />

cavity windows with high optical performance (Fig. 1b) on<br />

wafer-level processing, poses an extra challenge for<br />

manufacturing.<br />

Conventional methods forming a cavity are commonly using<br />

subtractive processes such as plasma etching, wet etching or<br />

even more coarse processes like sandblasting and ultrasound<br />

milling. These processes remove material from a wafer<br />

substrate (e.g. a polished glass wafer) in unmasked areas thus<br />

forming a cavity; whereas the material which is protected by a<br />

masking technology remains and forms bond frames or<br />

similar structures. It should be noted, that processing takes<br />

place in the bottom of the cavity,<br />

In case of an optical cavity this surface represents the optical<br />

active surface and is, especially with shallow cavities, very<br />

close to the focal plane of an optical sensor. Furthermore any<br />

optical surface finish in the cavity area such as highly<br />

polished surfaces, antireflective / filter coatings or optical<br />

elements like gratings and apertures are damaged by the<br />

cavity formation using subtractive processes.<br />

These drawbacks can be avoided by using additive techniques.<br />

In this case bond frames or similar structures are formed<br />

on top of a high quality optical wafer by depositing material<br />

whereas the optical area in the bottom of the cavity shall<br />

remain intact.<br />

Most commonly photoactive polymers are used to form the<br />

frames like BCB or SU-8. These materials are typically<br />

applied by spin- or spray-on, structured using lithography<br />

where the photosensitive material is selectively exposed and<br />

removed in a development step thus forming the bond frames<br />

very precisely. This method is the mainstream solution for<br />

low-end product, where the disadvantages of polymers like<br />

limited reliability, moisture uptake, oxygen or moisture<br />

transmission or degradation at elevated temperatures play a<br />

minor role.<br />

In the effort to meet reliability requirements for advanced<br />

products stencil printing of frit-glass or solder glasses is used.<br />

However these glass-powder based materials generate<br />

particles during processing and the resolution of the lateral<br />

dimensions as well as the height of the frames structures is<br />

limited by the minimal grain size of the glass-powder, the<br />

stencil printing process and the need for a reflow process for<br />

post-processing the glass-slurries.<br />

In order to overcome the limitations of todays mainstream<br />

solutions, we propose a novel method to fabricate precise and<br />

hermetic Cap-Wafers with high optical quality:<br />

Shallow Cavities using Additive Microstructuring of Glass<br />

– Lithoglas process<br />

An advanced, additive microstructuring process of glass<br />

(Lithoglas process) is used to fabricate a “glass-only” cap<br />

wafer providing utmost optical performance at a very low<br />

level of defects. The novel deposition and microstructuring of<br />

glass allows the formation of thin films of dense borosilicate<br />

glass on a broad range of substrates at substrate temperatures<br />

below 100 °C [3, 4].<br />

The deposition of the glass is done by a plasma-assisted e-<br />

beam evaporation process. It is a high rate deposition process<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

with deposition rates of several hundred nm/min and at the<br />

same time low substrates temperature. With the high<br />

deposition rate typical film thicknesses of 3 – 20 µm can be<br />

achieved easily and production can be run as a cost effective<br />

batch process. Thicker layers as thick as 100 µm have been<br />

done in R&D – this is only possible due to the outstanding<br />

control of stress in the deposited layers.<br />

The glass layer can be microstructured by lift-off (Fig. 2a).<br />

Since the deposition process is working at low temperatures<br />

standard photo resists can be used for masking.<br />

Process Step 1 – Lithography: As a first step photo resists<br />

is deposited by spin-on. It is exposed by mask aligner or<br />

stepper and developed. The photo resist carries the negative<br />

image of the target structures in the glass layer.<br />

Process Step 2 – Glass Deposition: The borosilicate glass<br />

layer is deposited by plasma-assisted e- beam deposition on<br />

the full wafer. The substrate temperature stays below 100 °C<br />

during this process.<br />

Process Step 3 – Lift-Off: The glass microstructures are<br />

developed by dissolving the photo resist mask and with this<br />

removing the glass on top of it. A structured glass layer<br />

remains at the locations which were not covered by the resist;<br />

areas covered by photo resist were protected trough out the<br />

process and reveal their original surface finish after lift-off.<br />

Depending on the layer thickness an aspect ratio of 1.8 can<br />

be achieved yielding 1.3 µm fine structures in a 3 µm glass<br />

layer by using a mask aligner for lithography (Fig 2b).<br />

Glass, especially borosilicate glass, is well known for its<br />

chemical inert behavior and stability. It is temperature stable<br />

and hardly dissolves in most acids, bases and solvents. It is<br />

the close-to-perfect material for semiconductor packaging in<br />

respect to its electrical, chemical and physical properties. The<br />

use of Lithoglas microstructured thin-film glass as passivation<br />

and functional layer and its unique advantages is described<br />

elsewhere [5]. In this paper we focus on use of Lithoglas as<br />

bond frame.<br />

Fig. 2.a) Lithoglas Process Flow – Microstructuring of<br />

Glass by Lift-Off Borosilicate glass (blue) can be deposited at<br />

low temperatures of below 100°C on a variety of substrates<br />

(grey) by using plasma-assisted e-beam deposition. This<br />

permits the microstructuring of the glass thin-film by lift-off<br />

using standard photo resists (red)<br />

47


11-13<br />

<br />

May 2011, Aix-en-Provence, France<br />

<br />

glass frame<br />

adhesive<br />

Fig. 2.b) Microstructured Glass on Silicon with smallest<br />

Feature being 1.3 µm with an Aspect Ratio of 1.8. The<br />

deposited glass is structured by photo resist lift-off.<br />

It should be noted, that also larger areas, as frequently<br />

used as optical cavity windows for image sensors and optical<br />

MEMS, can be lifted without residues. Throughout the whole<br />

process sensitive areas where no glass shall be deposited are<br />

covered and protected by the lift-off photo resist. As<br />

mentioned earlier, this is especially useful for MOEMS and<br />

optical sensors, when e.g. microstructured glass bond frames<br />

are formed on a glass cap wafer using an antireflective or<br />

other coating in order enhance the optical performance of the<br />

final device.<br />

At the same time very low variations on critical<br />

dimensions like width and height of the bond frame on a<br />

wafer and wafer-to-wafer are achieved. The use of the<br />

microstructured glass as bond frames provides a solid and<br />

dense embodiment of a cavity. The rigid frame guaranties a<br />

well-defined height of the cavity throughout processing as<br />

well as in the final product and can be controlled at tolerances<br />

less than 1 µm in mass production. This is especially<br />

important due to the critical optical design required for the<br />

advanced optical application.<br />

Quasi-Hermetic Wafer-Level-Integration of Shallow<br />

Cavities using ultra-thin Adhesive Bonding<br />

Furthermore, the dense frame acts as an efficient diffusion<br />

barrier for humidity and has – being a bulk glass frame – an<br />

extremely small moisture uptake. In combination with the thin<br />

bond line it provides a quasi-hermetic cavity. In the specific<br />

product described (Fig. 3) a cavity with a height of 10.8 µm is<br />

provided by using a glass frame with a height of 10.3 µm and<br />

the thin adhesive layer being typically in the range of 0.5 µm<br />

– depending on the topography of the device wafer. The final<br />

products passes JEDEC MSL Level 1.<br />

As of today the average wafer capping yield is in the high<br />

nineties, whereas on champion wafers 100 % yield can be<br />

achieved. Please refer to Ref. [3] for more details on<br />

reliability data on production level.<br />

´<br />

Fig. 3.a) Typical glass cavity window after dicing bonded<br />

to a dummy wafer. An 80 µm glass rim acts as the bond frame<br />

with a total width of 100 µm. In order to achieve these tight<br />

design rules the amount of the bond adhesive as well as its<br />

bleeding during the bonding process must be well controlled<br />

Fig. 3.b) Cross-Cut through the bond interface revealing<br />

the thin adhesive bond line of the µCapping process and its<br />

tide control of glue bleeding.<br />

Hermetic Wafer-Level-Integration of Shallow Cavities<br />

using Anodic Bonding<br />

Due to the nature of the additive deposited Lithoglas being<br />

a borosilicate glass, the bond frames can be used directly as<br />

bond interface, when using an anodic bond process.<br />

Anodic bonding is used for a wide range of applications,<br />

especially in MEMS industry, where reliable, hermetic sealing<br />

of silicon devices is required. Anodic bonded devices are well<br />

known for their high mechanical and chemical stability [4].<br />

For mainstream applications a borosilicate glass substrate,<br />

such as Pyrex 7740, Schott 8330 (also called Tempax) or<br />

Borofloat is bonded to substrates – today predominately<br />

silicon - by applying an external voltage at elevated<br />

temperatures. This process was first reported as field assisted<br />

bonding in 1969 by Wallis et.al [5]. The sealing of two silicon<br />

surfaces by deposition of a glass thin-film was first reported in<br />

1972 by Brooks et.al. who produced piezo-resistive pressure<br />

sensors [6].<br />

The use of a glass thin-film is advantageous compared to<br />

using a glass wafer especially when two silicon wafers need to<br />

be bonded to form a wafer stack or a package. Bulk glass<br />

wafers with a thickness of several hundred micrometres do<br />

not only limit the miniaturization of the final devices – unless<br />

48


the glass is polished down in a costly process after being<br />

bonded to the first substrate – they also require higher external<br />

bond voltages to drive the alkali ion migration in the glass<br />

during the bond process [7].<br />

However, using sputtering as deposition method for glass<br />

thin-films has a number of disadvantages as well, such as low<br />

deposition rates, high substrate temperatures, high intrinsic<br />

film stress and the tendency to form pin-holes. On a<br />

commercial basis it is very costly to form hermetic glass thinfilms<br />

with a thickness of several microns by using sputtering.<br />

Multiple efforts have been undertaken to establish more<br />

cost-effective deposition methods for borosilicate glass [8,9]<br />

such as e-beam deposition, which did not find their way into<br />

mainstream applications in wafer-level packaging yet. This is<br />

the mission of Lithoglas applying the unique plasma-assisted<br />

e-beam evaporation process.<br />

Anodic Bonding of Lithoglas on Borofloat to Silicon<br />

As an example we first demonstrate the anodic bond of<br />

Lithoglas bond frames with a height of 3 µm deposited on a<br />

6” Borofloat 33 wafer. The frame design is similar to that<br />

used for adhesive bond (Fig. 4).<br />

<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

Borofloat 33 substrate are very similar to those of bulk<br />

Borofloat. A typical bond process is shown in Fig 5.<br />

Fig. 5) Record of anodic bonding parameters of 3 µm high<br />

Lithoglas frames on 500 µm Borofloat 33 to a silicon wafer<br />

are very similar to bulk Borofloat. As a standard the bond<br />

voltage is increased in 3 steps (400 V, 600 V and 800 V). The<br />

bond current show the characteristic spikes when stepping the<br />

voltage. A total charge of 432 mC is transferred during<br />

bonding of a net bond area of about 1100 mm². Bonding<br />

temperature is 390 °C.<br />

Fig. 4.a) Lithoglas bond frames with a height of 3 µm<br />

form a cavity on top of a 500 µm thick Borofloat 33 wafer.<br />

The Lithoglas rim acts as the bond frame with a total width of<br />

100 µm. The Lithoglas Cap-Wafer is anodic bonded to a<br />

silicon substrate.<br />

Fig. 4.b) Anodic bonding of Lithoglas frames to a silicon<br />

wafer achieves good quality bonds with high yields – visual<br />

inspection from the glass side.<br />

It shall be noted, that the process parameters for anodic<br />

bonding of 3 µm high Lithoglas frames on 500 µm thick<br />

Anodic Bonding of Silicon to Silicon using Lithoglas<br />

As mentioned earlier the use of a Lithoglas interface is<br />

most beneficial when two (or more) silicon wafers shall be<br />

hermetically sealed by anodic bonding. We have<br />

demonstrated that a 3 µm thick Lithoglas layer is sufficient to<br />

anodic bond two silicon wafers without any special pre- or<br />

post-treatment of the silicon wafers, however the bond<br />

voltages was adapted:<br />

For anodic bonding of bulk glass to silicon voltages of<br />

several hundred volts are used to drive the sodium ions in<br />

bulk borosilicate glass at elevated temperatures. Typical bond<br />

conditions are in the range of 500 to 1000 V as bond voltage<br />

at a temperature 300 to 400 °C to anodic bond a 500 µm thick<br />

Pyrex glass to silicon. Reducing the glass thickness to several<br />

microns it is obvious, that the bond voltage can be reduced<br />

proportionally yielding a similar electrical field for the fieldassisted<br />

anodic bond. In case the borosilicate film has a high<br />

dielectric breakdown voltage the electrical field can be<br />

increased significantly allowing anodic bonding at lower<br />

temperatures and at the same time lower external bond<br />

voltages.<br />

The borosilicate thin-films processed by plasma assisted e-<br />

beam evaporation exhibit a typical specific breakdown<br />

voltage of better than 240 V/µm at room temperature. This<br />

high value allows for relatively high electrical fields for<br />

anodic bonding allowing both bonding at lower temperatures<br />

as well as faster bonding processes. Compared to standard<br />

processes for bonding bulk glass – where typical electrical<br />

fields of 1 – 2 V/µm are applied – it was shown that using a<br />

Lithoglas layer a field strength of 20 V/µm are achieved<br />

applying e.g. 60 V as maximum bond voltage over an 3 µm<br />

borosilicate thin-film.<br />

A comparison of anodic bonding parameters of 3 µm high<br />

Lithoglas frames on 500 µm Borofloat 33 (#1) with 3 µm high<br />

Lithoglas on silicon (#3) while bonding to a silicon wafer is<br />

49


given in figure 6. The bond voltage is reduced from 400 V<br />

(#1) to 40 V (#3) while the bonding temperature was kept at<br />

390 °C for both cases. The bond current and the transferred<br />

charge for anodic bond of the borosilicate thin-film show the<br />

expected characteristic behavior, though the bond voltage is<br />

reduced to 40 V. Due to the small thickness of the bondinterface<br />

an increased electrical current of can be observed<br />

through the borosilicate thin-film in the steady-state. However<br />

the sheet-resistance is as high as 3 GΩ/□ at 390 °C.<br />

Fig. 6) Comparison of anodic bonding parameters of 3 µm<br />

high Lithoglas frames on 500 µm Borofloat 33 (#1) with 3 µm<br />

high Lithoglas on silicon (#3) while bonding to a silicon<br />

wafer. The bond voltage is reduced from 400 V (#1) to as low<br />

as 40 V (#3). Bonding temperature is 390 °C.<br />

As mentioned above, the deposited borosilicate thin-films<br />

can be microstructured by photo-resist lift-off. This allows the<br />

fabrication of well-defined anodic bondable areas on devices<br />

wafers. This technique was used to seal a silicon pressure<br />

sensor as shown in figure 7.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

Deep Cavities using novel “Cavity-by-Grind” method<br />

The Lithoglas process is very cost effective for the<br />

formation of thin anodic bond interfaces or shallow cavities of<br />

some ten micrometres. For the formation of deep cavities of<br />

up to some hundred micrometres hybrid materials such as<br />

Silicon-Glass Cap-Wafers are commonly used.<br />

Principally there are two ways used for manufacturing<br />

today. Firstly, bonding of a pre-structured spacer substrate<br />

(e.g. a silicon wafer) having cavity holes to the cover substrate<br />

(e.g. a glass wafer). However this process is limited to thick<br />

spacers of some hundred micrometres in order to avoid<br />

breakage during handling and bond.<br />

The second method is to form the cavity in the spacer<br />

substrate after bonding it to the cover substrate. In this case<br />

typically a silicon wafer is bonded to glass substrate and then<br />

the silicon is structured by wet- or plasma-etching using the<br />

glass wafer as etch stop. Though it allows the formation of<br />

cavities with a large scope of sizes, depths and shapes, it<br />

requires processing on the optical surfaces as discussed<br />

earlier.<br />

In order to avoid the drawbacks of conventional<br />

processing of those hybrid cap wafers, we propose a new<br />

process flow for their manufacturing, which is very stable and<br />

high yielding and is especially suitable for optical applications<br />

(Fig. 8).<br />

Fig. 8) Novel “Cavity-by-Grind” process for the<br />

manufacturing of hybrid cavity wafers with high optical<br />

performance.<br />

Fig. 7) Silicon pressure sensor devices on wafer with a<br />

3 µm thick Lithoglas anodic bond-frame around the central<br />

structure. The deposited bond-frame hermetically seals the<br />

conduction leads on the sensor devices. The image was taken<br />

prior anodic bonding of the device wafer to a silicon cap<br />

wafer.<br />

Sealing of conduction leads by deposition of the<br />

borosilicate glass on top is an unique feature of the Lithoglas<br />

process, thus enabling cost-effective, hermetic feed-throughs.<br />

As a first process step blind indentations are formed into a<br />

thick spacer substrate. These indentations are slightly deeper<br />

than the final cavities. With this the spacer wafers allows for<br />

automated handling without any carrier systems even for<br />

shallow cavities of some ten microns. We use standard (100)-<br />

silicon wafers as spacer wafers and use wet-etching for the<br />

formation of the blind cavities.<br />

As a second step the thick spacer is bonded to a cover<br />

substrate thus forming the cavities. The bonding can be done<br />

by conventional bonding techniques like anodic, adhesive or<br />

eutectic bonding, but also direct bonding is feasible due to the<br />

high quality of the surfaces. For our standard product, we use<br />

anodic bonding to bond the silicon spacer to a borosilicate<br />

cover glass.<br />

50


11-13 May 2011, Aix-en-Provence, France<br />

<br />

As a last step the excessive material is removed from the <br />

backside of the spacer substrate thus opening the cavities and<br />

defining their final depth. We thin down the silicon to the<br />

final thickness by conventional back-grinding and with this<br />

open the cavities.<br />

Fig. 9) Example of packaging of opto-devices using<br />

hybrid cap wafers.<br />

Due to the high quality of cap wafers incl. their low warp<br />

and geometrical tolerances, they can be used of wafer-level<br />

integration of devices, but also for housing of pre-assembled<br />

opto-devices on PCB as shown in figure 9. Today this is still<br />

the most common configuration.<br />

Lithoglas µCap used in Chip-On-Board Packaging<br />

After the capping of the devices on wafer level, the<br />

individual chips can be assembled in conventional ways with<br />

only minor modifications to the standard processes e.g.<br />

yielding COB components (Fig. 10). Since the devices are<br />

pre-packaged, the subsequent assembly steps can be<br />

performed in a cost effective, high through-put setup<br />

significantly reducing the requirements for clean room<br />

facilities and in-line inspection efforts even for image sensors<br />

and other optical applications.<br />

Fig. 10) Wafer-Level Capped Chips used in standard<br />

Chip-on-Board Assembly Flow;<br />

The final components achieve superior performance and<br />

reliability, e.g.:<br />

• There is no adhesive layer in optical path, which might<br />

cause changes in optical characteristics due to degradation of<br />

polymer under intensive illumination or due to delamination<br />

of the polymer layer.<br />

• The optical window is precisely positioned with tight<br />

control on x, y, z as well as tilt and rotation. The tight<br />

tolerances are proven on production level and even apply to<br />

very small windows such as 750 x 750 µm size or smaller,<br />

which are difficult to handle otherwise.<br />

• The outstanding control on glue bleeding in case of<br />

adhesive bonding and the small minimal width of the bond<br />

frames (typ. 100 µm) allows for advanced, miniaturized<br />

design.<br />

• The bond interface of the Lithoglas µCap is robust and<br />

positioned in the inner of the package, being additionally<br />

sealed and protected by the COB molding material.<br />

The package shown in figure 11 is used for optical pick-up<br />

for a 405 nm application achieving high yields greater than<br />

95% for the wafer capping process and passing JEDEC Level<br />

1 as molded COB component.<br />

Fig. 11) Final COB Devices with optical cavity window.<br />

51


Conclusions<br />

Microstructured borosilicate thin-films are used to form<br />

bond frames and cavities on optical wafers. Direct anodic<br />

bonding of these structures has been demonstrated for glassto-silicon<br />

and silicon-to-silicon wafers. The use of the<br />

Lithoglas structures as bond layers opens up the opportunity<br />

to anodic bond two silicon device wafers using only one<br />

anodic bond step. Furthermore the bond layer can be easily<br />

structured by lift-off and can hermetically seal underlying<br />

electrical leads forming a hermetic feed-through. Due to the<br />

outstanding dielectric properties of the borosilicate thin-films<br />

advanced bond parameters can be used enabling a fast anodic<br />

bond process and with this lowering the costs of hermetic<br />

wafer-level integration of silicon devices.<br />

A novel “Cavity-by-Grind” process was introduced, which<br />

allows the cost-effective manufacturing of optical cap-wafers<br />

with deeper cavities (some ten to some hundred micrometres)<br />

with high yields and high optical performance.<br />

The use of capped device for conventional COBpackaging<br />

was discussed.<br />

Acknowledgments<br />

MSG Lithoglas AG wishes to thank Prof. Klaus-Dieter<br />

Lang, Oswin Ehrmann and their team of Fraunhofer Institute<br />

for Reliability and Microintegration in Berlin for their<br />

ongoing support.<br />

Parts of the work was part-funded by the European<br />

Regional Development Fund (ERDF) and the government of<br />

Berlin, Germany as well as by the Federal Ministry of<br />

Education and Research, Germany.<br />

<br />

11-13 May 2011, Aix-en-Provence, France<br />

References<br />

1. Toepper, M., P. Garrou, The Wafer Level Packaging<br />

Evolution”, - Semiconductor , - - International, , Reed Elsevier<br />

Inc, Oct. 2004, p. SP-13.<br />

2. Chowdry, A. “Camera Module Assembly and Test<br />

Challenges”, Semiconductor International, Reed Elsevier<br />

Inc, Feb. 2006, p. SP- 4.<br />

3. Hansen, U., S. Maus, J. Leib, M. Toepper, “Novel<br />

Hermetic and Low Cost Glass-Capping Technology for<br />

Wafer-Level-Packaging of Optical Devices”, Proceedings<br />

of Conference ESTC 2010, Berlin, Sept 2010, Paper 170<br />

4. M. Esashi. Encapsulated micromechanical sensors.<br />

Microsystem Technol., 1:2–9, 1994.<br />

5. G. Wallis and D. I. Pomerantz. Field assisted glass-metal<br />

sealing. J.Appl. Phys., 40(10):3946–3949, 1969.<br />

6. A. D. Brooks, R. P. Donovan, and C. A. Hardesty. Lowtemperature<br />

electrostatic silicon-to-silicon seals using<br />

sputtered borosilicate glass. J. Electrochem. Soc.,<br />

119(4):545–46, 1972.<br />

7. B. Schmidt, P. Nitzsche, S. Grigull, U. Kreissig, B.<br />

Thomas, K. Herzog, and K. Lange. In situ investigation of<br />

ion drift processes in glass during anodic bonding. Sensors<br />

and Act. A, 67(1-3):191–198, 1998.<br />

8. Anders Hanneborg, Martin Nese, and Per Øhlckers.<br />

Silicon-to-silicon anodic bonding with a borosilicate glass<br />

layer. J. Micromech. Microeng., 1:139–144, 1991.<br />

9. Experimental analysis on the anodic bonding with an<br />

evaporated glass layer; Woo-Beom Choi et al 1997 J.<br />

Micromech. Microeng. 7 316-322.<br />

10. Mund, D., J. Leib, M. Toepper, Novel Hermetic Wafer-<br />

Level-Packaging Technology Using Low-Temperature<br />

Passivation, Proceedings of 55th ECTC Conference,<br />

Orlando, June. 2005, pp. 562-565.<br />

11. Hansen, U., S. Maus, J. Leib, M. Toepper, Robust and<br />

Hermetic Borosilicate Glass Coatings by e-Beam<br />

Evaporation, Proceedings of the Eurosensors XXIII<br />

conference, Lausanne, September 2009.<br />

52


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Reduced Order Modelling of MEMS Dynamics<br />

Stefano Mariani 1 , Saeed Eftekhar Azam 1 , Aldo Ghisi 1 , Alberto Corigliano 1 , Barbara Simoni 2<br />

1 Politecnico di Milano, Dipartimento di Ingegneria Strutturale<br />

Piazza Leonardo da Vinci 32, 20133 - Milano (ITALY)<br />

2<br />

STMicroelectronics, MSH Division<br />

Via Tolomeo 1, 20010 Cornaredo (ITALY)<br />

Abstract- The dynamics of a uniaxial micro-accelerometer<br />

subjected to accidental drop events is studied by means of a<br />

reduced order model. A two degrees of freedom model is built,<br />

which carefully reproduces the MEMS response under high<br />

acceleration events. The results of the reduced order model are<br />

compared to those obtained with a three-dimensional finite<br />

element model, in terms of accuracy of the results and<br />

simulation speed-up<br />

I. INTRODUCTION<br />

During accidental drop events, polysilicon MEMS<br />

sensors are often exposed to high-g loadings because of<br />

their extremely small mass and, therefore, inertia [1-3].<br />

This fact can cause mechanical failure due to cracking in<br />

high stressed regions. In a series of papers [4-8] we<br />

recently proposed a numerical approach to accurately link<br />

the features of the shock-inducing cause (like, e.g. a drop)<br />

to the effects at the MEMS level. Because of the several<br />

length-scales affecting the dynamics of the whole device<br />

when subjected to such shocks, a multi-scale frame was<br />

adopted. We then showed that macro-scale (at device level)<br />

and meso-scale (at sensor level) analyses can be routinely<br />

investigated making use of commercial finite element<br />

codes, since the features of the polycrystalline film<br />

constituting the movable parts of the MEMS have a<br />

marginal impact. A different situation characterizes microscale<br />

(at polysilicon film level) analyses, which turn out to<br />

be extremely complicated and time demanding, in case high<br />

accuracy of the results is mandatory.<br />

A possible way to drastically reduce the computing time<br />

is to make use of reduced order models for the whole<br />

MEMS sensor, built in an accurate and micro-mechanically<br />

informed way. Reduced models would allow to avoid<br />

running analyses at the micro-scale, keeping a similar<br />

accuracy in the results. This issue was partially addressed<br />

in previous works [9-10].<br />

In the present work we go further on in the use of reduced<br />

models built on the basis of purely mechanical<br />

considerations, routed by the investigated details of the<br />

MEMS dynamics. A simple two degrees of freedom<br />

reduced model is built for a commercial micro<br />

accelerometer (Fig. 1) which measures the acceleration<br />

orthogonal to the device substrate. The dynamics of<br />

accidental drop events characterized by two acceleration<br />

levels, is numerically studied by means of the reduce model<br />

and compared with the outcome of a fully 3D finite element<br />

model.<br />

More sophisticated reduced order modelling techniques<br />

could be used, e.g. based on the proper orthogonal<br />

decomposition (POD) [11] and compared with the approach<br />

presented in this paper; this issue will be the subject of<br />

forthcoming works.<br />

II. MODELLING IMPACTS IN MEMS ACCELEROMETERS<br />

The accelerometer was assumed to be subjected to a low-g<br />

acceleration input directed along the Z-axis (see Fig. 2),<br />

whose maximum is about 90 g, and to a high-g input (see<br />

Fig. 3), with a maximum acceleration peak of about 5,500 g.<br />

As for the boundary conditions applied to the model, the<br />

accelerometer is anchored at its center with two slender<br />

suspension springs. As a reference solution a finite element<br />

model of the accelerometer, featuring 34,000 nodes and<br />

26,000 elements, i.e. about 100,000 degrees of freedom (dof)<br />

has been considered. In parallel, a reduced, two-dof model<br />

has been envisaged: if we impose a rigid behavior for the<br />

plate, only dof reproducing the vibration modes #1 and #5<br />

from Fig. 6 need to be considered. The spectral content of<br />

the two input accelerations, as shown in Fig. 4-5 through<br />

their energy spectral density, confirms that the relevant,<br />

excited dynamics pertains the relative rotation of the plate<br />

−=Δ<br />

θθθ<br />

and its relative translation −=Δ<br />

www<br />

at the<br />

spring clamped end, as shown in Fig. 1.<br />

The motion of the device in this two-dof framework can<br />

be described as follows:<br />

− aMuKu<br />

=++ (1)<br />

where the vector u=[Δw Δθ ] T collects the two dof,<br />

superposed dots indicate time derivative. If we assume h to be<br />

the plate thickness, L the plate length in the x direction, ρ the<br />

polysilicon mass density (reduced to take into account for the<br />

holed plate), l the spring length, and V the plate volume, then<br />

the mass matrix collects the plate translational mass<br />

53


ww<br />

is rotating<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

= ρ dyh and its inertial contribution LM<br />

when the plate<br />

∫<br />

V<br />

θθ<br />

∫<br />

= ρ<br />

V<br />

2<br />

dyyh and the coupling term.<br />

LM<br />

The stiffness matrix is diagonal, more precisely K ww =2 k f ,<br />

3<br />

K θθ =2 k t , since f = x /1 l is the flexural I stiffness 2 and Ek<br />

= tx / lIGk<br />

the torsional stiffness zt<br />

for one spring and I and I t<br />

being the bending and torsional moments of inertia of the<br />

spring cross section.<br />

The damping matrix is defined according to the quality factor<br />

Q: in our calculations we set: d ww =d θθ =Q 2 t mk , d wθ =d θw =0.<br />

A direct time integration scheme has been followed to solve<br />

(1) and a penalty coefficient amplifies the diagonal terms of<br />

the stiffness matrix when the displacements at the plate corner<br />

overcome the lower or the upper gap, therefore reproducing<br />

the plate contact with the die or the cap, which are assumed as<br />

rigid walls.<br />

Fig. 3. High-g acceleration input.<br />

z<br />

y<br />

x<br />

w<br />

θ<br />

w<br />

θ<br />

Fig. 1. Considered uniaxial MEMS accelerometer.<br />

Fig. 4. Nondimensional energy spectral density of the low-g input.<br />

Fig. 2. Low-g acceleration input.<br />

Fig. 5. Nondimensional energy spectral density of the high-g input.<br />

54


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

input case, assuming the same damping coefficient as in the<br />

previous damped case. The relative displacements at two<br />

opposite (with respect to the spring axis) corner nodes for the<br />

FE and the two-dof models are depicted in Fig. 11 and, again,<br />

they well agree. It is worthwhile to point out that when one<br />

Mode 1: 1,527 Hz<br />

Mode 2: 10,047 Hz corner enters into contact with the die, since the plate is rigid<br />

the opposite node is limited in its movement as well. This<br />

confirms that for the low-g case the motion due to the<br />

excitation is mainly rotational around the spring axis, i.e.<br />

according to the degree of freedom Δθ . Again, the stresses<br />

Mode 3: 17,737 Hz<br />

Mode 4: 23,665 Hz<br />

are underestimated by the two-dof model (Fig. 12), but their<br />

absolute value is lower than the previous damped case when<br />

no contact is considered. This means that, due to the small<br />

lower gap, the die works as a stopper for the moving plate.<br />

Finally, a calculation has been carried out for the high-g<br />

acceleration input case, where both damping and contact have<br />

Mode 5: 28,945 Hz<br />

Mode 6: 164,090 Hz<br />

to be considered. As in the previous low-g case with contact,<br />

we present in Fig. 13 the relative displacements for both the<br />

opposite corner nodes. Again, the dynamics of the sensor is<br />

well captured by the two-dof model; in this case, however,<br />

when one node enters into contact with the die, the opposite<br />

corner evidences a larger displacement in the other direction.<br />

Mode 7: 259,820 Hz<br />

Mode 8: 359,310 Hz<br />

This behavior is possible only if a contribution of the<br />

translational degree of freedom Δw is also present; in other<br />

words, the plate, still rigid, translates along the Z-axis and<br />

rotates along the spring axis during high-g excitation. For the<br />

high-g case, the stresses calculated by the two-dof model are<br />

even more underestimated than the previous cases, as shown<br />

Mode 9: 526,660 Hz<br />

Mode 10: 847,540 Hz in Fig. 14.<br />

In conclusion, the good performance of the two-dof model for<br />

Fig. 6. Lowest vibration modes of the MEMS sensor.<br />

what concerns the sensor dynamics is undermined by the lack<br />

of accuracy for the stresses. Other methods like the one<br />

recalled in the following Section IV could possibly solve this<br />

drawback.<br />

III. RESULTS<br />

The advantages of the reduced order modelling in terms of<br />

computational burden are evident: in our examples the FE<br />

calculations required about 5 hours versus a few seconds for<br />

the two-dof model. In order to appreciate, instead, the quality<br />

of the reduced order model approximation Figs. 7-14 compare<br />

the FE solution with the simplified, two-dof approach, in four<br />

different cases.<br />

First, for the low-g acceleration input case, an undamped and<br />

damped response has been considered when the contact is<br />

neglected for the moving plate. In Fig. 7 and Fig. 9 the<br />

relative displacements along the Z-axis u Z at one corner node<br />

of the plate are compared: in both the cases the sensor<br />

dynamics is correctly captured (thus confirming the hypothesis<br />

of a rigid plate), an almost negligible difference is visible only<br />

in the peak of the damped case. In the Figs. 8 and 10 the<br />

envelope of maximum principal stresses at the spring clamped<br />

end section, calculated as in [9], are shown. The two-dof<br />

stresses appear clearly underestimated with respect to the FE<br />

solution; this discrepancy is due to the stress concentration<br />

effect because of the rounded corners between the plate and<br />

the spring end; this effect is captured by a refined FE mesh,<br />

but is not reproduced by the two-dof model.<br />

As a third case, we allow for contact in the low-g acceleration<br />

Fig. 7. Time history of the relative vertical displacement at the plate corner<br />

node for the low-g undamped case, neglecting contact.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

55


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig. 8. Time history of the envelope of the maximum principal stress at the<br />

spring clamped end section<br />

for the low-g undamped case, neglecting contact.<br />

Fig. 11. Time history of the relative vertical displacement at the plate corner<br />

node for the low-g damped case, allowing for contact.<br />

Fig. 9. Time history of the relative vertical displacement at the plate corner<br />

node for low-g damped case, neglecting contact.<br />

Fig. 12. Time history of the envelope of the maximum principal stress at the<br />

spring clamped end section for the low-g damped case, allowing for contact.<br />

Fig. 10. Time history of the envelope of the maximum principal stress at the<br />

spring clamped end section for the low-g damped case, neglecting contact.<br />

Fig. 13. Time history of the relative vertical displacement at the plate corner<br />

node for the high-g damped case, allowing for contact.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

56


Fig. 14. Time history of the envelope of the maximum principal stress at the<br />

spring clamped end section for the high-g case.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

PCA is to identify the dependence structure behind a<br />

multivariate stochastic observation in order to obtain a<br />

compact description. The central idea of the PCA is to reduce<br />

the dimensionality of a data set, while retaining as much as<br />

possible the variation present in the data set.<br />

m<br />

Consider the aforementioned random variable x ∈ R ,<br />

y , y … , y are first, second,… and m th principal<br />

1 2<br />

m<br />

components respectively.<br />

Let the first principal component y 1 be a linear combination<br />

of each element of the original random vector:<br />

m<br />

T<br />

T<br />

= ( )<br />

1 ∑ x = x, α = , ,...,<br />

i1 i 1 1 11 21 m 1<br />

i = 1<br />

y<br />

The variance of y is then:<br />

1<br />

2<br />

y1<br />

α α α α α (6)<br />

S = α Ζ α<br />

(7)<br />

T<br />

1 x 1<br />

where Ζ x<br />

is the covariance of the random variable x .<br />

IV.<br />

PROPER ORTHOGONAL DECOMPOSITION<br />

The proper orthogonal decomposition (POD) is a stochastic<br />

method used to assemble the model-specific optimal linear<br />

subspace from an ensemble of system observations. Owing to<br />

the stochastic nature of the subspace calculations, the POD is<br />

also suited for nonlinear phenomena.<br />

The main idea is to find a set of ordered orthonormal bases<br />

and express samples optimally using the selected first l basis<br />

vectors.<br />

m<br />

Consider a random vector x ∈ R for which a set of arbitrary<br />

m<br />

orthonormal bases denoted by φ i<br />

spans its vector space R :<br />

{ φ i<br />

}, i + 1, 2,..., m and let us assume that the original random<br />

variable be written as a linear combination of the introduced<br />

bases, where the coefficients of this combination are denoted<br />

by y :<br />

i<br />

m<br />

x = y φ = Φy, y = φ x, i = 1, 2,...., m (2)<br />

∑<br />

i = 1<br />

i i i i<br />

( )<br />

( , ,..., ), [ , ,..., ]<br />

y = y y y Φ = φ φ φ (3)<br />

1 2 m<br />

1 2<br />

Mathematically speaking, the objective of the POD is to find a<br />

set of basis vectors that satisfies the following extreme value<br />

problem:<br />

2<br />

2<br />

min ε l = E x−x<br />

l<br />

(4)<br />

φ<br />

i<br />

( )<br />

( ) ( )<br />

s. t. φ T<br />

φ = δ , i, j = 1, 2,..., m<br />

x<br />

i j ij<br />

l<br />

∑<br />

( ) = φ ,( ≤ )<br />

l y l m (5)<br />

i = 1<br />

i<br />

i<br />

POD has been extensively used in different engineering fields,<br />

under different names; three main versions of the technique<br />

are the following:<br />

- Principal Component Analysis (PCA)<br />

- Karhunen Loéve Decomposition (KLD)<br />

- Singular Value Decomposition (SVD)<br />

Here we introduce PCA but it can be proved that the three<br />

methods are the same in essence [12]. The purpose of the<br />

m<br />

Maximum of<br />

S would not be achieved for a finite value<br />

2<br />

y<br />

of α , so a constraint have to be exerted:<br />

1<br />

T<br />

T<br />

max α Ζα , st . . α α = 1<br />

α<br />

1<br />

(<br />

1 x 1) (<br />

1 1)<br />

Introducing the Lagrangian multiplier λ it gives:<br />

1<br />

( α, ) = α Ζα +<br />

1 1 1 1 1( 1−α α<br />

1 1)<br />

T<br />

T<br />

L λ λ (9)<br />

x<br />

After differentiating it will give:<br />

∂L<br />

( α , λ )<br />

1 1<br />

= 2( Ζ −λI)<br />

α ⇒ Ζα = λ α (10)<br />

x 1 1 x 1 1 1<br />

∂α<br />

1<br />

where λ and α<br />

1<br />

1<br />

are the eigenvalue and the corresponding<br />

eigenvector of the covariance matrix Ζ x<br />

, respectively.<br />

Applying the same procedures, the objective function to be<br />

maximized in order to extract the principal components of a<br />

random variable reads:<br />

m<br />

⎛ T ⎞<br />

T<br />

max ⎜∑ α Ζα⎟, st ..( α α)<br />

= δ (11)<br />

i x i i j ij<br />

αi<br />

⎝ i = 1 ⎠<br />

and the approximation error due to representing the random<br />

l<br />

variable by the first l principal components x ≈ ∑ y α<br />

i i<br />

would be:<br />

2<br />

ε<br />

2<br />

( )<br />

( l) = E x−x( l)<br />

(<br />

i<br />

)<br />

m<br />

m<br />

2 2<br />

∑ E y ∑S<br />

y i<br />

i = l + 1 i = l + 1<br />

= =<br />

(12)<br />

where, α , i = 1, 2,..., l are the eigenvectors of Ζ<br />

i x<br />

.<br />

In order to find the principal components, one needs the<br />

covariance matrix of the random variable; however, since in<br />

practical problems it is usually impossible to find the<br />

covariance matrix, it is a common practice to use a correlation<br />

matrix as an acceptable approximation of the random variable<br />

covariance matrix. To approximate with the desired fidelity<br />

the covariance matrix one needs an appropriately chosen<br />

i =1<br />

(8)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

57


ensemble of the random variable samples. In the jargon of<br />

MOR, such a seed of the samples is called a matrix of<br />

snapshots, where each snapshot is the state of the system in a<br />

time instant (see Fig 15).<br />

Fig. 15. Constituting matrix of snapshots<br />

The covariance of a data set allocated in a snapshot matrix<br />

X is calculated as:<br />

⎛ 1 ⎞<br />

Σ = lim ⎜Σ <br />

T<br />

= XX ⎟<br />

(13)<br />

x<br />

x<br />

n →∞⎝<br />

n ⎠<br />

and it is usually approximated by a finite number of samples,<br />

thus becoming<br />

1<br />

Σ ≈ ( X−X)( X−X)<br />

T<br />

(14)<br />

x<br />

n<br />

Once the bases are found, one can project the space of the<br />

random variable onto the new space comprising of first<br />

(hopefully) few principal components, and decrease the order<br />

of the states.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

“Two-scale vs three-scale FE analyses of shock-induced failure in<br />

polysilicon MEMS,” Proceedings of the 11 th International<br />

Conference on Thermal, Mechanical and Multiphysics Simulation<br />

and Experiments in Micro-Electronics and Micro-Systems<br />

(EuroSimE 2010), Bordeaux, France, April 2010.<br />

[9] A. Ghisi, S. Kalicinski, S. Mariani, I. De Wolf, and A. Corigliano,<br />

“Polysilicon MEMS accelerometers exposed to shocks: numericalexperimental<br />

investigation,” Journal of Micromechanics and<br />

Microengineering, vol. 19, 035023, 2009.<br />

[10] S. Mariani, A. Ghisi, R. Martini, A. Corigliano, B. Simoni.<br />

Analysis of shock-induced polysilicon MEMS failure: a multiscale<br />

finite element approach. DTIP 2010, Symposium on Design, Test,<br />

Integration & Packaging of MEMS/MOEMS, Seville (Spagna), 5-7<br />

May 2010.<br />

[11] R. A. Białecki, A. J. Kassab, A. Fic. Proper orthogonal<br />

decomposition and modal analysis for acceleration of transient<br />

FEM thermal analysis. International Journal for Numerical<br />

Methods in Engineering, vol. 62, pp. 774–797, 2005.<br />

[12] H. M. Hilber, T. J. R. Hughes and R. L. Taylor. Improved<br />

numerical dissipation for time integration algorithms instructural<br />

dynamics Earthq. Eng. Struct. Dyn. Vol. 5 283–92, 1977.<br />

ACKNOWLEDGMENT<br />

Financial support to this work has been provided by MIUR through<br />

PRIN08 grant Mechanics of microstructured materials: multi-scale<br />

identification, optimization and active control (grant #2008KNHF9Y), and<br />

by Cariplo Foundation through grant 2009: Surface interactions in micro<br />

and nano devices. The work has been also supported by Regione<br />

Lombardia and CILEA Consortium through the 2010 LISA Initiative<br />

(Laboratory for Interdisciplinary Advanced Simulation), grant: M 2 -MEMS.<br />

REFERENCES<br />

[1] G. Li, and F. Shemansky, “Drop test and analysis on micro<br />

machined structures,” Sensors and Actuators A, vol. 85, pp. 280–<br />

286, 2000.<br />

[2] V. Srikar, and S. Senturia, “The reliability of<br />

microelectromechanical systems (MEMS) in shock environments,”<br />

Journal of Microelectromechanical Systems, vol. 11, pp. 206–214,<br />

2000.<br />

[3] E. Suhir, “Is the maximum acceleration an adequate criterion of the<br />

dynamic strength of a structural element in an electronic product?”<br />

IEEE Transactions on Components, Packaging and Manifacturing<br />

Technology, vol. 20, pp. 513–517, 1997.<br />

[4] S. Mariani, A. Ghisi, A. Corigliano, and S. Zerbini, “Multi-scale<br />

analysis of MEMS sensors subject to drop impacts,” Sensors, vol.<br />

7, pp. 1817-1833, 2007.<br />

[5] S. Mariani, A. Ghisi, A. Corigliano, and S. Zerbini, “Modeling<br />

impact-induced failure of polysilicon MEMS: a multi-scale<br />

approach,” Sensors, vol. 9, pp. 556-567, 2009.<br />

[6] S. Mariani, A. Ghisi, F. Fachin, F.Cacchione, A. Corigliano, and S.<br />

Zerbini, “A three-scale FE approach to reliability analysis of<br />

MEMS sensors subject to impacts,” Meccanica, vol. 43, pp. 469-<br />

483, 2008.<br />

[7] S. Mariani, A. Ghisi, F. Fachin, F. Cacchione, A. Corigliano, and<br />

S. Zerbini, “Multi-scale analysis of polysilicon MEMS sensors<br />

subject to accidental drops: effect of packaging,” Microelectronics<br />

Reliability, vol. 49, pp. 340–349, 2009.<br />

[8] S. Mariani, A. Ghisi, R. Martini, A. Corigliano, and B. Simoni,<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

58


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A Model for Two-Dimensional Arrays of Cantilevers<br />

in the Dynamic Regime<br />

Hui HUI 1, 2 , Michel LENCZNER 2<br />

1 School of Mechatronic Northwestern Polytechnical University,<br />

127, Youyi Xilu,<br />

710072 Xi’an Shaanxi, China<br />

2 FEMTO-ST, Département Temps-Fréquences Université de Franche-Comté,<br />

26, Chemin de l’Epitaphe,<br />

Abstract- We present a model for two-dimensional arrays of<br />

micro-cantilevers in elasto-dynamical regime. It has been<br />

derived by a two-scale approximation method related to<br />

strongly heterogeneous system. We also report validation<br />

results regarding its modal structure compared with the one of<br />

a direct Finite Element Model (FEM).<br />

I. INTRODUCTION<br />

Since its invention [1], the Atomic Force Microscope<br />

(AFM) has open new directions for a number of operations at<br />

the nanoscale with an impact in various sciences and<br />

technologies. A number of research laboratories are now<br />

developing large arrays of AFM that can achieve the same<br />

kind of task in parallel. The most advanced system is the<br />

Millipede from IBM [2] for data storage, but again, a number<br />

of new architectures are emerging see [3], [4], [5], [6], [7].<br />

The main limitations of the AFM devices are their low<br />

speed of operation and their low reliability, this is even more<br />

true for arrays. Thus, the modeling of single AFM and their<br />

model-based control are more and more studied, see M.<br />

Napoli et al. [8], S.M. Salapaka et al. [9], M. Sitti [10] for<br />

instance. Regarding arrays, only the group of B. Bamieh, see<br />

[8] and the reference therein, has published a model of<br />

coupled cantilever arrays. It takes into account an<br />

electrostatic coupling, and its derivation is<br />

phenomenological. It turns out that numerical simulations<br />

must handle the full array, leading to a prohibitive<br />

computational time for the time scale of a designer.<br />

The goal of this paper is to present a simplified model for<br />

the elastic behavior of large two-dimensional cantilever<br />

arrays as these appearing in AFM arrays, as depicted in<br />

Figure 1. It extends the paper [11] by taking into account the<br />

dynamical regime instead of the static regime, and it applies<br />

to two-dimensional arrays instead of one-dimensional arrays.<br />

A detailed paper has been submitted for publication, it<br />

includes all necessary information about the model and its<br />

derivation. The corresponding model for one-dimensional<br />

arrays in dynamic regime was announced in the letter [12].<br />

Our model is mainly based on a homogenization technique<br />

dedicated to strongly heterogeneous materials or systems<br />

expressed in the framework of two-scale convergence (or<br />

approximation) as introduced in M. Lenczner [13], [14] or in<br />

25030 Besançon Cedex, France<br />

D. Cioranesco, A. Damlamian and G. Griso [15]. In a<br />

preliminary step, its derivation also make use of the<br />

asymptotic method related to thin structures of P.G. Ciarlet<br />

[16] and of P. Destuynder [17]. We emphasize that the choice<br />

of a method for the modeling of the periodic array is not<br />

straightforward, in particular, a standard homogenization<br />

method is not relevant. On this point of view, a particular<br />

feature of a cantilever array is that the local mechanical<br />

displacements of the moving parts may be of the same order<br />

of magnitude as the displacements of the common support.<br />

Another point is that the lowest local eigenfrequencies of the<br />

moving parts are also in the same order of magnitude as those<br />

of the common supporting base. These features may be usual<br />

in many microsystems arrays but not in continuum<br />

mechanics for which the homogenization methods were<br />

developed. So the usual homogenization methods, do not<br />

yield interesting models even with introduction of correctors.<br />

We review the main features of our simplified model. The<br />

array is comprised of cantilevers clamped in a common base,<br />

and possibly being equipped with tips. We assume that the<br />

base is much stiffer than the cantilevers. This is expressed by<br />

saying that their stiffness have different asymptotic<br />

behaviors. The resulting model is composed of two evolution<br />

equations, one for the macroscopic behavior, related to the<br />

supporting base, and the other one at the microscopic level,<br />

which takes into account the cantilever dynamics. As<br />

required, their time scales are in the same range of magnitude<br />

and so is their mechanical displacements. We further assume<br />

that the tip is perfectly rigid, this is a commonly accepted<br />

assumption. All these assumptions yield our model with<br />

which we have carried numerical simulations and<br />

validations.<br />

The paper is organized as follows. In Section II, we start<br />

by describing the array geometry, and then shortly introduce<br />

the two-scale approximation method. Then we introduce our<br />

model in Section III. In Section IV, we discuss the<br />

eigenmodes of the model and its validation is detailed in<br />

Section V.<br />

II. THE TWO-SCALE APPROXIMATION<br />

We consider a two-dimensional array of cantilevers, see<br />

Figure 1 (a) with cell represented in Figure 1 (b).<br />

59


It is comprised of bases crossing the array in which<br />

cantilevers are clamped. The bases are connected both in the<br />

x -direction and in the x 2 -direction, so they constitute a<br />

single common support clamped on its external boundary.<br />

Cantilevers may be equipped with a rigid tip, as in AFMs.<br />

The whole array can be viewed as a periodic repetition of a<br />

same cell, in the two directions and x 2 , see also Figure 2<br />

(a) for a two-dimensional view We suppose that the numbers<br />

of rows and columns of the array are sufficiently large,<br />

namely larger or equal to 10. The simplified model will be an<br />

approximation of the three-dimensional elasticity model in<br />

the sense of small values of , the ratio of the cell size to<br />

array size i.e.<br />

To build it, we shall make use of the so-called two-scale<br />

approximation that we briefly introduce. Each point<br />

x x ,x 2 ,x of the three-dimensional space is decomposed<br />

as<br />

x x y,<br />

where x represents the coordinates of the center of the cell<br />

containing the point of x,<br />

(a)<br />

(b)<br />

Fig. 1. (a) Array of cantilevers and (b) A single cell<br />

Fig. 2. A two-dimensional view of (a) an array and (b) a single cell<br />

,and y - x-x<br />

is the dilated relative location of with respect to Points<br />

with coordinates vary in the unique so-called reference<br />

cell, that is obtained through a translation and the dilatation<br />

-<br />

of any current cell, see Figure 2 (b) for a two-dimensional<br />

<br />

<br />

view of the reference cell.<br />

Now, considering a distributed field , we introduce its<br />

two-scale transform<br />

u x,y u x y ,<br />

defined for any x x ,x 2 belonging to the two-dimensional<br />

filled section of the cell, centered at x x ,x 2 ,x , and for<br />

any y y ,y 2 ,y varying over the reference cell. We<br />

emphasize that through this construction varies in a filled<br />

rectangle covering the full array, that we refer to as . By<br />

construction, the two-scale transform is constant, with<br />

respect to its first variable x, over each cell. Since it depends<br />

on the ratio then it may be approximated by the<br />

asymptotic field, denoted by u , obtained when<br />

approaches (mathematically) 0:<br />

u u<br />

The approximation is called the two-scale<br />

approximation of u We mention that as a consequence of<br />

the asymptotic process, the partial function x u x, is<br />

continuous to the contrary of x u x, .<br />

Now, we observe that u x,y is a two-scale field, and<br />

therefore cannot be directly used as an approximation of the<br />

field u x in the real array of cantilevers. So, an inverse<br />

two-scale transform must be applied to u . However, since<br />

x u x, is continuous, u does not belong to the range of<br />

the two-scale transform. Hence we introduce an<br />

approximated inverse for the two-scale transform,<br />

v x,y v x ,<br />

in the sense<br />

(1)<br />

u u and v v ,<br />

for sufficiently regular functions u x and v x,y For x<br />

belonging to a cell centered at x , we introduce separate<br />

definitions of x v x in two parts The first one applies to x<br />

belonging to a cantilever,<br />

v x v , x x x,<br />

it is a mean in x over the cell. The other is for in the base,<br />

v x v x, x x<br />

Once an approximate inverse two-scale transform is<br />

defined, we retain u as our approximation of in the<br />

physical system. In the paper, we apply this technique to the<br />

mechanical displacements in the array, and we derive the<br />

equations governing the resulting two-scale field u<br />

III. MODEL STATEMENT<br />

Our models are formulated from the Kirchhoff-Love thin<br />

plate model of the whole structure, and we will always<br />

assume that the ratio of cantilever thickness h C to base<br />

thickness is small. More precisely, we will assume that<br />

h C<br />

,<br />

h<br />

(2)<br />

because it is the appropriate choice yielding the following<br />

non-degenerated model coupling cantilevers and base in an<br />

appropriate manner. Applying the two-scale approximation<br />

technique to the third component of the vector of mechanical<br />

displacement fields yields u t,x,y where represents the<br />

time variable and is treated as a parameter. In the following,<br />

we detail the equations governing u .<br />

From the asymptotic analysis, we find that u is<br />

60


11-13 May 2011, Aix-en-Provence, France<br />

<br />

independent of y everywhere. In the model, we consider part Y R about the junction C,R<br />

in the direction y 2<br />

. Last, the<br />

cantilevers made of an isotropic material and then variations external base boundary being clamped in a fixed support<br />

of y u t,x,y are neglected. So their motions are governed<br />

u and xu n x (8)<br />

by a classical Euler-Bernoulli beam equation in the<br />

on the boundary.<br />

microscopic space variable y 2<br />

,<br />

m C<br />

tt<br />

2 u r C y 2 y 2<br />

u F C , (3)<br />

IV. EIGENMODES OF THE MODEL<br />

with m C l C m C their linear mass density, There is an infinite number of eigenvalues and<br />

r C - l CE C I C<br />

l<br />

Y C - 2 r C eigenvectors x,y<br />

their linear stiffness coefficient,<br />

2<br />

associated to the model. For<br />

convenience, we parameterize them by two independent<br />

indices, i and j , both varying in an infinite countable<br />

set. The first index refers to an infinite set of eigenvalues i<br />

and eigenvectors i<br />

x of a problem posed in the base. The<br />

eigenvalues i i<br />

constitutes a sequence of positive<br />

number increasing towards infinity. At each such eigenvalue<br />

corresponds another eigenvalue problem posed in a<br />

and F C l C F C their load per unit length. Here<br />

m C , Y ,E C ,I C , ,F C and l C are the linear mass in cantilever, the<br />

in-plane section area of the reference cell, the cantilever<br />

elastic modulus, the second moment of cantilever section, the<br />

Poisson’s ratio, a load per unit length in the antilever and the<br />

scaled cantilever width l C l C in the reference cell.<br />

This model holds for all x x ,x 2 , and therefore<br />

represents motions of an infinite number of cantilevers<br />

parameterized by x<br />

For varying along the base, the function y u t,x,y is<br />

constant and the displacement u t,x is governed by a<br />

Kirchhoff-Love plate equation<br />

ttu div x div x R x T x u<br />

l C r C y2 y 2 y 2<br />

u<br />

jun tion f , (4)<br />

with<br />

Y<br />

Y<br />

dy in R r<br />

Y<br />

r<br />

and<br />

dy are respectively its effective surface mass,<br />

its homogenized stiffness tensor, and its effective load per<br />

unit surface, where Y per unit area in the base and Y<br />

subdomain of Y . The term r C y2 y 2 y 2<br />

u<br />

jun tion is a<br />

distributed load originating from shear forces exerted by<br />

cantilevers on the base at base-cantilever junctions.<br />

At base-cantilever junctions, a cantilever is clamped in the<br />

base, so<br />

u antilever u ase<br />

2<br />

(5)<br />

and y 2 y 2<br />

u and y2 y 2 y 2<br />

u ,<br />

because yu in the base. Other cantilever ends may be<br />

free with equations,<br />

2<br />

y 2 y 2<br />

u and y2 y 2 y 2<br />

u , (6)<br />

or may be equipped with a rigid part (usually a tip in AFM),<br />

then<br />

at a junction between an elastic part and a rigid part. Here, J R<br />

is a matrix of moments and F R is comprised of effective<br />

forces and moments. For the model, this equation was<br />

restated as a boundary condition (6) at C,R<br />

where<br />

F R C<br />

dy l C G<br />

J R J J<br />

and F<br />

J J R C,R<br />

Y R<br />

2<br />

with J k YR<br />

Y R<br />

F R<br />

y 2<br />

y 2 C,R dy G 2 R<br />

(7)<br />

y 2<br />

-y 2 C,R<br />

k<br />

dy2 being a k th moment of the rigid<br />

cantilever, which has also a countable infinity of solutions<br />

denoted by C C<br />

ij and y<br />

ij 2<br />

. The index of i being fixed, the<br />

sequence ij C is a positive sequence increasing towards<br />

j<br />

infinity. On the other side, when the index is fixed, the<br />

sequence ij C , ij<br />

C<br />

i<br />

is an infinite sequence converging to an<br />

eigenelement associated to a clamped-free cantilever. We<br />

can show that the eigenvectors ij<br />

x,y 2<br />

are the product of a<br />

mode in the base by a mode in a cantilever<br />

i x C<br />

y<br />

ij 2<br />

Now we report observations made on eigenmode<br />

computations. We consider a silicon array of cells<br />

with relatively small, so that to make the results more<br />

readable. For larger , the results are qualitatively similar, so<br />

we chose , or with base dimensions: left base:<br />

m m m ; right base: m m m ; top<br />

base: m m m ; bottom base:<br />

m m m ; and cantilever dimensions<br />

2 m m 2 m for one cell. We have carried out our<br />

numerical study on both cases, with or without tips. But we<br />

limit the following comparisons to cantilevers without tips,<br />

because configuration including tips yields similar results.<br />

We restrict our attention to a finite number n<br />

2 of<br />

eigenvalues i in the base. Computing the eigenvalues ,<br />

we observe that they are grouped in bunches of size n<br />

accumulated around a clamped-free cantilever eigenvalues.<br />

A number of eigenvalues are isolated far from the bunches. It<br />

is remarkable that the eigenelements in a same bunch share a<br />

same cantilever mode shape, (close to a clamped-free<br />

cantilever mode) even if they correspond to different indices<br />

j That is why, these modes will be called "cantilever<br />

modes". Isolated eigenelements also share a common<br />

cantilever shape, which looks like a first clamped-free<br />

cantilever mode shape excepted that the clamped side is<br />

shifted far from zero. The induced global mode is then<br />

dominated by base deformations and therefore will be called<br />

"base modes". Densities of square root of eigenvalues are<br />

reported in Figure 3 for , and respectively. This<br />

figure shows three bunches for 2 cells as well as the<br />

isolated modes that remains unchanged.<br />

61


11-13 May 2011, Aix-en-Provence, France<br />

V.<br />

<br />

MODEL VALIDATION WITH A DIRECT FEM 4.<br />

Our validation consists in comparing the results of our<br />

model with results obtained using a direct FEM for the<br />

three-dimensional elasticity system. We have carried our<br />

computation with a small number due to long computing<br />

time of FEM simulations. We compare the modal structure of<br />

our model to the modal structure of a FEM in<br />

three-dimensional elasticity. The eigenvalues of the<br />

three-dimensional elasticity equations also constitute an<br />

increasing positive sequence that accumulates at infinity. As<br />

for the two-scale model, its density distribution exhibits a<br />

number of concentration points and also some isolated<br />

values. Bunch sizes are still equal to the number 2 of<br />

cantilevers for low eigenvalues (log ), see Figure<br />

3 representing eigenmode distributions for , and<br />

Fig.4. Eigenmode density distributions for finite element model and<br />

for the two-scale model<br />

We remark that a number of eigenvalues in the FEM<br />

spectrum have not their counterparts in the two-scale model<br />

spectrum. We have checked that the missing elements<br />

correspond to the modes which have membrane<br />

displacement in some local cells and torsion in the<br />

cantilevers. These cases are not modeled in the current<br />

simple two-scale model. We also compare the eigenmodes<br />

and especially those belonging to bunches of eigenvalues. By<br />

visual inspection, we observe that the deformed shape of<br />

cantilevers from FEM model and from our model are similar<br />

for identical eigenvalues, see Figure 5.<br />

Fig. 3. Eigenmode Density Distributions for Finite Element Model<br />

Extrapolating this observation, we derive that when the<br />

number of cantilevers increases bunch size increases<br />

proportionally. Since the two-scale model is an<br />

approximation in the sense of an infinitely large number of<br />

cantilevers, this explains why the two-scale model exhibits<br />

mode concentration with infinite number of elements. This<br />

remark provides guidelines for operating mode selection in<br />

the two-scale model. In order to determine an approximation<br />

of the spectrum for an -cantilevers array, we suggest to<br />

operate a truncation of the mode list so that to retain a simple<br />

infinity of eigenvalues ij i , ,<br />

2 and j<br />

We stress on the<br />

fact that<br />

2 - eigenvalue bunches are generally not<br />

corresponding to a single column of the truncated matrix ij .<br />

We consider a silicon array of 10 by 10 cantilevers, see<br />

Figure 1 (a). Computing the eigenvalues associated to the<br />

two-scale model, we observe that they are grouped in<br />

bunches of size 100 accumulated around each clamped-free<br />

cantilever eigenvalue. A number of eigenvalues are isolated<br />

far from these bunches. We compare the modal structure of<br />

our model with the one of a FEM based on three-dimensional<br />

elasticity system for the same configuration. Densities of<br />

square root of eigenvalues in logarithm are reported in Figure<br />

(a)<br />

(c)<br />

(d)<br />

Fig. 5. (a) First base mode in two-scale model (b) First mode in FEM<br />

model (c) First cantilever mode in two-scale model (d) Matched mode<br />

in FEM model<br />

In a future work, we will develop a numerical test, as in the<br />

paper [18] related to one-dimensional arrays of cantilevers,<br />

so that to eliminate modes corresponding to physical effects<br />

not modeled by our model. It will be applied on transverse<br />

displacement only. We will also conduct FEM calculations<br />

for larger (more than 10) on a more powerful computing<br />

system in order to complete the convergence analysis of the<br />

solution to the FEM towards the solution of our model.<br />

In order to compare the distribution of the spectrum for a<br />

-cantilever array, we operate a truncation of mode list. It<br />

corresponds to the range [ 6 of log in Figure 4.<br />

(b)<br />

62


We have reported that how base modes alternate with<br />

cantilever modes both in our model and in the FEM model,<br />

see Figure 6 (a). The relative errors between both<br />

eigenvalues sequences are represented in Figure 6 (b). Note<br />

that errors are far from being uniform among eigenvalues. In<br />

fact, the main error source resides in a poor precision of the<br />

beam model for representing base deformations in some<br />

particular deformation modes.<br />

Fig. 6. Eigenmode density distributions for finite element model and<br />

for the two-scale model<br />

VI. CONCLUSION<br />

A two-scale model for two-dimensional cantilever arrays<br />

in dynamic regime has been derived based on a theory of<br />

strongly heterogeneous homogenization where the<br />

cantilevers play the role of soft parts. We conclude to a<br />

globally good agreement with the three-dimensional<br />

elasticity model based on eigenvalue density and mode shape<br />

comparisons. The validation of the model demonstrates that<br />

the two-scale model was sufficiently light to apply to<br />

two-dimensional AFM arrays. More comparisons with FEM<br />

results are still needed for large arrays.<br />

ACKNOWLEDGMENT<br />

This work is partially supported by the European<br />

Territorial Cooperation Programme INTERREG IV A<br />

France-Switzerland 2007-2013. The Computations have<br />

been performed on the super computer facilities of the<br />

Mésocentre de calcul de Franche-Comté.<br />

<br />

<br />

REFERENCES<br />

[1] G innig, C Quate, and C Ger er, “ tomi for e mi ros ope,”<br />

Physical Review Letters, vol. 56, no. 9, pp. 930 – 3, 1986.<br />

[2] M. Despont, J. Brugger, U. Drechsler, U. Durig, W. Haberle, M.<br />

Lutwyche, H. Rothuizen, R. Stutz, R. Widmer, G. Binnig, H.<br />

Rohrer, and P Vettiger, “V SI-NEMS chip for parallel AFM data<br />

storage,” Sensors and Actuators, A: Physical, vol. 80, no. 2, pp.<br />

100 – 107, 2000.<br />

[3] M. Lutwyche, C. Andreoli, G. Binnig, J. Brugger, U. Drechsler,<br />

W. Haberle, H. Rohrer, H. Rothuizen, P. Vettiger, G. Yaralioglu,<br />

and C Quate, “ x 2D FM antilever arrays a first step towards a<br />

Tera it storage devi e,” Sensors and Actuators, A: Physical, vol.<br />

73, no. 1-2, pp. 89 – 94, 1999.<br />

[4] Y.-S. Kim, H.-J. Nam, S.-M. Cho, J.-W. Hong, D.-C. Kim, and J.<br />

U u, “PZT antilever array integrated with piezoresistor sensor<br />

for high speed parallel operation of FM,” Sensors and Actuators,<br />

A: Physical, vol. 103, no. 1-2, pp. 122 – 129, 2003.<br />

[5] G.-W. Hsieh, C.-H. Tsai, W.-C. Lin, C.-C. Liang, and Y.-W. Lee,<br />

“ ond-and-transfer scanning probe array for high-density data<br />

storage, ” IEEE Transactions on Magnetics, vol. 41, no. 2, pp. 989<br />

– 991, 2005.<br />

[6] J.- D Green and G U ee, “ tomi for e mi ros opy with<br />

patterned cantilevers and tip arrays: Force measurements with<br />

hemi al arrays,” Langmuir, vol. 16, no. 8, pp. 4009 – 4015, 2000.<br />

[7] Z Yang, X i, Y Wang, H ao, and M iu, “Mi ro antilever<br />

probe array integrated with piezoresistive sensor,”<br />

Microelectronics Journal, vol. 35, no. 5, pp. 479 – 483, 2004.<br />

[8] M. Napoli, W. Zhang, K. Turner, and B. Bamieh,<br />

“Chara terization of ele trostati ally oupled mi ro antilevers,”<br />

Journal of Microelectromechanical Systems, vol. 14, no. 2, pp. 295<br />

– 304, 2005.<br />

[9] S. M Salapaka, T De, and Se astian, “ ro ust ontrol ased<br />

solution to the sample-profile estimation problem in fast atomic<br />

for e mi ros opy,” Internat. J. Robust Nonlinear Control, vol. 15,<br />

no. 16, pp. 821–837, 2005.<br />

[10] M Sitti, “ tomi for e mi roscope probe based controlled<br />

pushing for nanotri ologi al hara terization,” IEEE/ASME<br />

Transactions on Mechatronics, vol. 9, no. 2, pp. 343 – 348, 2004.<br />

[11] M en zner and R C Smith, “ two-scale model for an array of<br />

AFMs cantilever in the static ase,” Mathematical and Computer<br />

Modelling, vol. 46, no. 5-6, pp. 776–805, 2007.<br />

[12] M en zner, “ Multis ale Model for tomi For e Mi ros ope<br />

rray Me hani al ehavior,” Applied Physics Letters, vol. 90, p.<br />

091908, 2007.<br />

[13] M. Lenczner, “Homogénéisation d'un circuit éle trique,” C R<br />

Acad. Sci. Paris S_er. II b, vol. 324, no. 9, pp. 537–542, 1997.<br />

[14] M en zner and D Mer ier, “Homogenization of periodi<br />

electrical networks including voltage to current amplifiers,”<br />

Multiscale Model. Simul., vol. 2, no. 3, pp. 359–397, 2004.<br />

[15] D Cioranes u, Damlamian, and G Griso, “Periodi unfolding<br />

and homogenization,” C. R. Math. Acad. Sci. Paris, vol. 335, no. 1,<br />

pp. 99–104, 2002.<br />

[16] P. G. Ciarlet, Mathematical elasticity. Vol. I, vol. 20 of Studies in<br />

Mathematics and its Applications. Amsterdam: North-Holland<br />

<strong>Publishing</strong> Co., 1988.<br />

[17] P. Destuynder and M. Salaun, Mathematical analysis of thin plate<br />

models, vol. 24 of Math_ematiques & Applications. Berlin:<br />

Springer-Verlag, 1996.<br />

[18] M en zner, E Pillet, S Cogan, and H Hui, “ Multis ale Model<br />

of Cantilever Arrays and its Updating,” Sensors & Transducers,<br />

vol. 7, pp. 125–135, 2009.<br />

63


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Sensitivity Analysis and Adaptive Multi-Point Multi-<br />

Moment Model Order Reduction in MEMS Design<br />

Andreas Köhler, Sven Reitz, Peter Schneider<br />

Fraunhofer Institute for Integrated Circuits,<br />

Division Design Automation, Zeunerstraße 38,<br />

D-01069 Dresden, Germany<br />

Abstract- We present a model order reduction algorithm for<br />

linear time-invariant descriptor systems of arbitrary derivative<br />

order that incorporates sensitivity analysis for network<br />

parameters in respect to design parameters. It is based on<br />

implicit moment matching via rational Krylov subspace methods<br />

with adaptive choice of expansion points and number of moments<br />

based on an error indicator. Additionally, we demonstrate how<br />

parametric reduced order models can be obtained at nearly no<br />

extra costs, such that parameter studies are extremely<br />

accelerated. The finite element model of a yaw rate sensor<br />

MEMS device has been chosen as a numerical example, but our<br />

method is also applicable to systems arising in modeling and<br />

simulation of electromagnetics, electrical circuits, machine tools,<br />

heat conduction and other phenomena.<br />

I. INTRODUCTION<br />

For finite element models of micro- or nanoscale devices,<br />

the state space dimension easily reaches magnitudes of<br />

10 4 …10 7 . As a result, time and frequency domain simulations<br />

become computationally expensive or even impossible,<br />

especially when a coupling of several large scale subsystems<br />

is required for system level simulation. For this reason, model<br />

order reduction (MOR) emerged to an essential method for<br />

model generation for MEMS components.<br />

Typical MOR methods are balanced truncation and moment<br />

matching based on rational Krylov subspace methods [1].<br />

While balanced truncation provides a global error bound that<br />

allows easy control of the frequency domain error of the<br />

reduced order model (ROM), the computational costs of<br />

render this method inapplicable for >10 4 .<br />

In contrast, moment matching based on rational Krylov<br />

subspace methods is able to exploit the sparsity of the system<br />

matrices which yields computational costs of only .<br />

Therefore, these methods have proven as a cost efficient way<br />

to reduce the state space dimension of large scale dynamical<br />

systems during the last decades [1-6]. The lack of a global<br />

error bound does not carry weight in our applications, where<br />

the signals have a limited bandwidth and local convergence is<br />

sufficient.<br />

The biggest challenge for the integration of Krylov subspace<br />

based moment matching methods into Electronic Design<br />

Automation (<strong>EDA</strong>) software is the difficulty to choose the<br />

parameters that determine the approximation error of the<br />

ROM: the set of expansion points and the number of moments<br />

to be matched per expansion point. To overcome this, we<br />

developed an adaptive rational Krylov subspace based MOR<br />

algorithm called AMPXT [7] providing a push button solution<br />

for generating accurate reduced order models for complex<br />

structures. The algorithm automatically selects expansion<br />

points and the number of moments to be matched. Originally,<br />

AMPXT has been developed for large scale finite element<br />

models from 3D electromagnetic simulation, but the method is<br />

also applicable to other problems such as mechanical systems,<br />

heat transfer, etc. Furthermore, the adaptive strategy from [7]<br />

has been improved in this paper, such that less expansion<br />

points are involved, which reduces the overall computational<br />

costs.<br />

The second contribution of this paper is an extension of<br />

MOR for rapid network parameter sensitivity computations.<br />

This development was motivated by a growing demand for<br />

tools incorporating manufacturing tolerances during<br />

simulation and design for process variation, yield analysis, and<br />

reliability studies. Also, for design optimization tasks the<br />

influence of material and geometrical properties on the<br />

transfer characteristics of the device has to be analyzed.<br />

Finally we will demonstrate how the proposed method can<br />

be used as a simple tool for parametric MOR. This is<br />

extremely beneficial e.g. for parameter studies, where a<br />

speedup factor of 150…900 was obtained for the numerical<br />

example presented in section IV.<br />

II. PROBLEM STATEMENT<br />

Our starting point is a description of the model as a linear<br />

time-invariant descriptor system. For better readability we use<br />

a frequency domain formulation:<br />

A time domain formulation can be easily obtained via<br />

inverse Laplace transform and our proposed methodology is<br />

still applicable.<br />

The system matrices are defined as polynomials in the<br />

complex frequency with constant matrix-valued<br />

coefficients:<br />

(1)<br />

(2)<br />

(3)<br />

(4)<br />

(5)<br />

64


We assume that<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

does not become singular for more<br />

(7)<br />

than a finite set of points and<br />

and . This is the most general form of systems that<br />

(8)<br />

can be treated with the proposed MOR algorithm. Spatial Fast and accurate computation of these quantities is useful<br />

discretizations of partial differential equations like the heat for optimization loops, where the gradient of the objective<br />

equation, Maxwell’s equations, or mechanical systems as well function would have to be approximated by finite differences<br />

as RLC circuit equations fit into this framework.<br />

otherwise.<br />

The transfer function of system (1) is defined as<br />

Another objective is the acceleration of parameter studies<br />

(6)<br />

with the help of parameter dependent reduced order models,<br />

which approximate the parameter dependency of the transfer<br />

The polynomial degree of is called order of the function of the original system (1).<br />

system. But when speaking of model order reduction, we<br />

III. METHODOLOGY<br />

usually mean a reduction of the state space dimension of<br />

the system. This is a common misconception to be found in A. Model Order Reduction<br />

literature. It can be justified by the fact that every -th order The MOR method used in our methodology is a projection<br />

system can be equivalently transformed into a first order based approach. It constructs (bi-)orthonormal projection<br />

system with identical transfer function but an increased state matrices<br />

such that the reduced system<br />

space dimension of . In this sense, the terms order and<br />

state space dimension relate to each other.<br />

For systems resulting from finite element discretization, the<br />

state space dimension of the system corresponds to the total<br />

number of degrees of freedom (DOF). In mechanics, mostly<br />

second order systems arise and , and resemble the<br />

stiffness, damping, and mass matrix of the model.<br />

A frequent property of the system (1) is reciprocity, which<br />

is defined as the symmetry of the transfer function for all<br />

where is defined. This is especially the case when<br />

is symmetric for all and if a scaling function<br />

exists such that .<br />

Such systems will be called symmetric from now on.<br />

First of all, we are interested in the frequency response of<br />

the system which is obtained by evaluating for a discrete<br />

set of frequency points , ,<br />

. From (6) it follows that solutions of different<br />

linear systems of equations have to be computed, which is<br />

usually very time consuming. Time domain simulation of the<br />

system may be even impossible for large state space<br />

dimensions.<br />

The second problem to be solved is the incorporation of<br />

design parameters or manufacturing tolerances, such that the<br />

system matrices as well as the solution vector and the<br />

transfer function become parameter dependent. In the<br />

sequel we assume that only depends on a parameter<br />

. In the majority of cases the input and output matrices<br />

and respectively are incidence matrices that pick<br />

certain nodes of the model for excitation or measurement and<br />

therefore do not depend on parameters. The feed through<br />

matrix may be parameter dependent as well, but this case<br />

is omitted for the sake of simplicity as the MOR algorithm is<br />

not affected by the presence of a feed through matrix. Finally,<br />

an extension to multiple parameters is straight forward and<br />

will be demonstrated in section IV.<br />

Furthermore, we are interested in the first order sensitivities<br />

of the transfer function and the output respectively<br />

w.r.t the parameter at a given nominal value , which<br />

are given as<br />

matrices are obtained from<br />

(9)<br />

(10)<br />

(11)<br />

(12)<br />

Obviously, the corresponding reduced order model (ROM)<br />

has the same number of inputs and outputs, and . For<br />

behavior modeling and system level simulation this means that<br />

the full order model (FOM) can be seamlessly replaced by the<br />

ROM. The transfer function of the ROM is defined<br />

analogously to (6). Additionally, the -th order structure of<br />

(1) will be preserved, which prevents the costly alternative of<br />

reducing an equivalent first order system with increased state<br />

space dimension. Finally, the orthonormality of the projection<br />

matrix preserves symmetry and definite properties of the<br />

system matrices, such that passivity and stability are preserved<br />

for the ROM as well.<br />

A detailed description of the method to construct<br />

is beyond the scope of this paper, but we will give a brief<br />

outline of the essentials. First of all, we utilize multi-point<br />

moment matching. That means that a certain amount of the<br />

Taylor coefficients of the transfer function of the reduced<br />

order model for certain expansion points is equal to those of<br />

the full order transfer function. This is not to be<br />

misinterpreted as Taylor approximation in terms of a truncated<br />

Taylor series, but more like the approximation of a rational<br />

function with high numerator and denominator degrees by<br />

another rational function with lower degrees. The precise<br />

mathematical term is Padé approximation. If the system is not<br />

symmetrical and only a single projection matrix<br />

is<br />

used, we speak about Padé-type approximation. The link<br />

between moment matching and Krylov subspaces is<br />

thoroughly studied in [2]. A common synonym for multipoint<br />

moment matching is rational interpolation, indicating<br />

that the ROM interpolates the transfer function at selected<br />

frequency points up to certain derivative orders. Basically, if<br />

both -th Krylov subspaces associated with an expansion<br />

point are contained in the column spaces of and<br />

65


espectively, the resulting ROM will match at least the<br />

first moments w.r.t. , that is<br />

The resulting state space dimension of the ROM is equal<br />

to the number of columns of the projection matrices.<br />

In our case, the method of choice for the computation of the<br />

columns of the projection matrices is the Well-Conditioned<br />

Asymptotic Waveform Evaluation (WCAWE) algorithm [5].<br />

It is an efficient extension of the well-known Arnoldi method<br />

to higher order systems which prevents the otherwise costly<br />

transformation of the -th order system (1) to first order,<br />

which in turn would increase the number of rows of the<br />

projection matrix by a factor of , such that the<br />

orthonormalization process would be slowed down<br />

significantly. This is especially remarkable in view of the fact,<br />

that only a fraction of the rows of this extended projection<br />

matrix is needed for the final projection matrix, that is –<br />

depending on the specific implementation of the algorithm –<br />

the set of the first or the last rows of the extended<br />

projection matrix.<br />

For a given expansion point , we implicitly employ<br />

two parallel runs of WCAWE – one for the construction of<br />

related to and , another one for and<br />

related to the construction of . In both cases, the<br />

same factorization of<br />

is used to solve the<br />

corresponding systems of linear equations. Alternatively, one<br />

could use an extended version of the (unsymmetrical) Lanczos<br />

method, but in literature such a method does not exist for<br />

higher order multiple-input, multiple-output (MIMO) systems<br />

yet. Moreover, in the context of finite element models or<br />

electrical circuits most systems are symmetric systems in<br />

practice. Thus, the column spaces of and are<br />

identical and a single run of WCAWE is sufficient.<br />

B. Adaptive Moment Matching<br />

For the application of rational Krylov subspace MOR<br />

methods, expansion points and the number of moments per<br />

expansion point have to be selected manually by experienced<br />

users. Increasing the number of expansion points or the<br />

number of moments may or may not reduce this error, but in<br />

any case it will increase the state space dimension of the<br />

ROM. Furthermore, unless iterative methods are used, each<br />

expansion point involves a computationally expensive<br />

factorization of such that the number of expansion points<br />

has a dominant impact on the computation time needed for<br />

generating the ROM. Finally, the optimal choice of these<br />

parameters requires a-priori knowledge of system<br />

characteristics that could only be made available with high<br />

computational effort, comparable to costs of simulating the<br />

FOM.<br />

Targeting a push button solution, we developed a heuristic<br />

algorithm AMPXT [7] which can be viewed as an extension of<br />

[3] to higher order systems. The only parameter to be chosen<br />

by the end user is a frequency range of interest, i.e. an interval<br />

where the frequency response of the FOM<br />

should be approximated by the ROM up to a certain error<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

threshold. In contrast to other methods, AMPXT adds<br />

expansion points as they are needed and only keeps a single<br />

matrix factorization in memory at a time.<br />

(13) So, how do we heuristically determine expansion points?<br />

According to [3], real expansion points will provide more<br />

global convergence of the ROMs transfer function while<br />

expansion points on the imaginary axis provide local<br />

convergence. Depending on the invertibility of , we<br />

either choose as the initial expansion point, which<br />

would assure an exact match of the steady state or DC<br />

solution. Otherwise, we start with a real expansion point close<br />

to zero, such that is invertible. Further expansion<br />

are added as needed and they are plain imaginary, i.e.<br />

with .<br />

For each expansion point, the following error indicator is<br />

points<br />

successively evaluated in order to determine the number of<br />

columns being added to the projection matrix:<br />

(14)<br />

denotes the transfer function of the ROM resulting<br />

from the previous extension of the projection matrix by an<br />

WCAWE iteration and relates to the current ROM.<br />

The initial value for is set to the identity matrix and<br />

the norm used in (14) is the matrix infinity norm<br />

evaluated for a certain frequency .<br />

This error indicator resembles the successive relative<br />

change of the ROM’s transfer function. If there is little<br />

change, extending the ROM any further will not provide much<br />

more accuracy. To be more specific, in each iteration of<br />

AMPXT is evaluated on a discrete set of equally or<br />

logarithmically spaced frequency points:<br />

(15)<br />

If becomes small enough for all , the<br />

model is considered as converged within the frequency range<br />

of interest.<br />

Another important quantity is the relative error of the<br />

ROM’s transfer function defined as:<br />

(16)<br />

The computational costs for this quantity are equivalent to<br />

the evaluation of the full order transfer function. Therefore,<br />

cannot be computed for all . Unfortunately, an<br />

error bound that is both – sharp enough and cheaply to<br />

compute – is not known yet.<br />

This points us directly to the question on how to set a good<br />

threshold for and how to decide if adding another<br />

expansion point would be beneficial. As the exact relative<br />

error can be easily computed for the already selected<br />

expansion points by reusing the matrix factorization of<br />

, we set the convergence threshold to a value<br />

slightly above the maximum error:<br />

66


11-13 <br />

May 2011, Aix-en-Provence, France<br />

(17)<br />

<br />

The whole strategy is clearly heuristic. The error indicator<br />

must not be misinterpreted as an error bound and the<br />

This may seem draconic at a first glance, as this quantity has algorithm may fail if the set of monitored frequency points<br />

a magnitude of 10 -6 …10 -12 in practice due to numerical round is not dense enough. Therefore, in step 4 we successively<br />

off related to the condition number of . Furthermore, refine in the subinterval of the currently selected<br />

one would expect that increasing should allow less candidate expansion point if the number of contained<br />

accuracy in favor of a more compact ROM being faster to frequency points is too small.<br />

simulate. But while the state space dimension of the ROM<br />

decreases indeed, its accuracy may be worse than expected,<br />

C. Efficient Computation of Sensitivities<br />

e.g. the error indicator may report a maximum of 0.1%, This section describes how the quantities from (7) and (8)<br />

but the real error according to (16) may exceed even 100% for can be computed with basically no extra costs compared to the<br />

some .<br />

evaluation of the transfer function and how MOR can be<br />

The complete strategy for building up the projection matrix incorporated to speed things up further.<br />

in an automated way can be sketched as follows:<br />

We start with the following abbreviations, where<br />

denotes the nominal value of the parameter :<br />

1. Compute the factorization of for the initial<br />

expansion point .<br />

2. Extend the projection matrices with WCAWE until either a<br />

minimum number of moments as been matched for or<br />

until the ROM has converged for at least half of the<br />

frequency points .<br />

3. Terminate if for all .<br />

4. Choose a new expansion point such that<br />

for all .<br />

5. Compute the factorization of .<br />

6. If => discard and either go to step<br />

4, if the total number of discarded expansion points does<br />

not exceed a certain threshold, otherwise the new<br />

expansion point does not seem to improve the model, so<br />

terminate.<br />

7. Extend the projection matrix with WCAWE until either a<br />

maximum number of moments is matched for or if<br />

for all .<br />

8. Terminate if for all ,<br />

otherwise go to step 4.<br />

There are several improvements over [7]. First of all, step 2<br />

puts emphasis on the initial expansion point. This is rooted in<br />

the fact, that in many applications a single real expansion<br />

point is sufficient to cover the whole frequency range of<br />

interest. As a result, fewer expansion points are needed in<br />

practice which saves computational costs. In order to improve<br />

the effect of additional expansion points, we assure in step 4,<br />

that new expansion points are placed not too close to the<br />

previous ones. Furthermore, we put a restriction on the<br />

maximum number of moments matched in step 7. This<br />

prevents stagnation of the convergence commonly found for<br />

plain imaginary expansion points in practice. Otherwise, the<br />

ROM could grow unnecessarily larger.<br />

It should also be noted that in the case of plain imaginary<br />

expansion points the resulting ROM system matrices can be<br />

forced to be real by the implicit use of complex conjugated<br />

expansion points [2]. This way, we avoid the extra costs of<br />

involving complex arithmetic for the orthonormalization and<br />

projection according to (9)-(11).<br />

(18)<br />

(19)<br />

Now, partial derivation of both equations of system (1)<br />

w.r.t. , application of the chain rule, and substitution into (6)<br />

yields an explicit expression for the transfer function<br />

sensitivity:<br />

(20)<br />

Hence, the factorization of the system matrix<br />

needed for the evaluation of the transfer function (6) for the<br />

nominal system at a given frequency can be reused.<br />

As a result, the extra costs for computing the sensitivity of the<br />

transfer function consist only of additional forward<br />

backward substitutions and a few matrix-vector products.<br />

These extra costs are negligible compared to the factorization<br />

of and can be reduced even further for the common<br />

case of symmetric systems.<br />

The evaluation of (8) is trivial as soon as has been<br />

computed. But significant acceleration of the computation of<br />

(20) can be obtained by the incorporation of model order<br />

reduction. For this purpose we assume that the system matrix<br />

for the nominal system<br />

is given as a matrix<br />

polynomial as in (2). We then construct the projection<br />

matrices for the nominal system with help of<br />

AMPXT. It is now possible to substitute the full order system<br />

matrices by the ROM’s system matrices as defined in (9)-(12):<br />

with<br />

(21)<br />

(22)<br />

The most expensive step in evaluating the transfer function<br />

sensitivity is the factorization of which has now been<br />

reduced to an problem. If is given as a matrix<br />

polynomial as well, the evaluation of (21) becomes even more<br />

efficient.<br />

It can be shown that this method of approximating the<br />

transfer function sensitivity by the sensitivity of the ROM<br />

transfer function is equivalent to the method proposed in [8].<br />

But [8] involves explicit moment matching, which is known to<br />

67


11-13 <br />

May 2011, Aix-en-Provence, France<br />

be numerically less stable than implicit moment matching with<br />

<br />

Now, the sensitivity of w.r.t. can be explicitly<br />

help of the projection approach as described in section A. computed by deriving (23) and both – the system matrix for<br />

Furthermore, the error for the transfer function sensitivity is the nominal system and its sensitivity for<br />

– are<br />

proportional to the error of the state vector of the nominal represented as matrix polynomials w.r.t. analogously to (2).<br />

system [10]. This guarantees the convergence of<br />

Hence, the MOR method described in sections A-C is<br />

towards for arbitrary parameters. As a consequence, a applicable.<br />

single run of AMPXT for the nominal system is sufficient to<br />

E. Parametric Model Order Reduction<br />

allow rapid computation of sensitivities of the transfer<br />

function for a whole series of different parameters . The main use of fast sensitivity computations is accelerating<br />

At this point, we did not put any assumption on the structure optimization loops. Of course, one could estimate the<br />

of the sensitivity of the system matrix . This will be variation of the transfer function for a parameter sweep. But<br />

addressed in the next section.<br />

as we are only able to efficiently compute first order<br />

sensitivities, the parameter dependence of the frequency<br />

D. System Interpolation<br />

response cannot be accurately computed for a broad parameter<br />

The analytical dependence of the system matrices on<br />

geometrical or material parameters is not always available in<br />

practice. Most tools like finite element simulators behave like<br />

black boxes and thus only provide system matrices for fixed<br />

parameter values.<br />

For linear material properties like the Young’s modulus in<br />

mechanics or permittivity and permeability in electromagnetics,<br />

the dependency of on can be easily<br />

reconstructed such that the sensitivity of the system matrix<br />

can be explicitly computed.<br />

For geometrical parameters it has been shown that the<br />

parameter dependency can be obtained explicitly as well [9].<br />

But this would require manual extension of the source code of<br />

a finite element simulator because at the time of writing, no<br />

commercially available tool is able to provide .<br />

This is why we decided to use polynomial interpolants of<br />

the system matrices based on a series of system matrices for<br />

fixed parameter values from the neighborhood of the nominal<br />

value . The parameter dependent system matrix is then<br />

represented as a multivariate polynomial<br />

(23)<br />

with and for at least one<br />

.<br />

To be more precise, we generate an initial set of systems<br />

for equally spaced parameter values within the neighborhood<br />

of the nominal value . Starting with 2 interpolation<br />

points, we successively add more from the desired<br />

neighborhood of the nominal value using Chebychev<br />

spacing, until the following relative error for the interpolated<br />

system matrices is below a given threshold:<br />

(24)<br />

In (24),<br />

denotes the system matrix related to<br />

as defined in (2) and is a fixed parameter value from the set<br />

of systems. corresponds to the interpolant as defined<br />

in (23) and is evaluated for all and all where<br />

.<br />

range with this method. This is why we will focus on<br />

parameter dependent ROMs in this section.<br />

The topic of parametric MOR is very complex and a<br />

multitude of methods has been proposed to provide parameter<br />

dependent ROMs, see [11]-[14] and references therein. While<br />

[11] is the most general one, providing simultaneous multipoint<br />

multiple-moment matching w.r.t. both – the complex<br />

frequency and multiple parameters – it matches<br />

an equal number of moments for the complex frequency and<br />

the parameters, which results in larger ROMs, the more<br />

parameters are used. Therefore, we restrict to multi-point<br />

multiple moment matching w.r.t. to and match only the<br />

zeroth and the first moment w.r.t. the parameters. In the next<br />

section we will show that resulting parametric ROMs still<br />

capture the parameter dependency of the transfer function in a<br />

satisfactory way.<br />

Given the projection matrices<br />

for the nominal<br />

system, the reduced system matrices can be obtained<br />

simply by projection of (23) analogously to (9). This method<br />

is denoted with PMORnom from now on and instead of<br />

computing the sensitivity w.r.t. the nominal value via<br />

(21) and (22), the sensitivity of the parametric ROM can be<br />

computed directly by derivation of the reduced order system<br />

matrix w.r.t. and substitution in to (21).<br />

An alternative method called PMORinterp in the sequel is to<br />

generate ROMs for each of the previously generated<br />

FOMs for fixed parameter values and then generate a<br />

parametric ROM via polynomial interpolation. Obviously,<br />

PMORinterp takes more computation time because<br />

projection matrices<br />

have to be computed. But<br />

these extra costs lead to better accuracy for a broad parameter<br />

range as will be demonstrated in the next section. Another<br />

advantage over PMORnom is the fact that the initial FOMs are<br />

not required to have the same state space dimension. Hence,<br />

different discretizations or finite element meshes can be used<br />

for the initial FOMs. In order to assure that the states of the<br />

different ROMs used for interpolation match the same<br />

physical quantities, we apply a state transform prior to the<br />

interpolation step as proposed in [12].<br />

68


Y<br />

<br />

X<br />

<br />

Fig. 1. Scanning electron microscope image (left) and ANSYS ® finite<br />

element model (right) of a yaw rate sensor by courtesy of Robert Bosch<br />

GmbH. The nodes selected for excitation are denoted by (1) and (2).<br />

IV. NUMERICAL EXAMPLES<br />

This section demonstrates the proposed methods for<br />

adaptive MOR, fast sensitivity computations, and parametric<br />

MOR for the mechanical finite element model of a yaw rate<br />

sensor [6] as depicted in Figure 1.<br />

The full order ANSYS ® model is made of 18,508 nodes<br />

connected by 3 rd order BEAM188 elements and the total<br />

number of degrees of freedom (DOF) is 177,996. The model<br />

has 4 inputs and 4 outputs as described in Table I.<br />

The system matrices were exported to MATLAB ® with an inhouse<br />

tool and all computations were done on a laptop<br />

computer with 2.4GHz Intel Core2Duo ® and 8GB of RAM<br />

running Windows XP x64. For speed improvement compared<br />

to MATLAB‘s built in solver, a MEX-interface to the<br />

PARDISO sparse matrix solver [15], [16] was used for the<br />

computation of the matrix factorizations needed for the<br />

WCAWE iterations and for the evaluation of the transfer<br />

function of the FOM.<br />

We selected two parameters of the sensor’s model for<br />

sensitivity analysis: the Young’s modulus of the beam<br />

elements with a nominal value of<br />

GPa and the<br />

thickness of the four suspension beams in the center of the<br />

sensor with a nominal value of<br />

m. Afterwards,<br />

we generated parameter dependent FOMs with the<br />

interpolation method described in section III.D. The error<br />

threshold for the interpolation of the system matrices<br />

according to (23) and (24) was set to . Expectedly, for<br />

this results in a polynomial degree of 1, because the system<br />

matrices depend linearly on . In contrast, a polynomial<br />

degree of 4 is needed for such that 5 FOMs are involved.<br />

The topology of the finite element mesh was kept constant for<br />

TABLE I<br />

INPUT AND OUTPUT DESCRIPTION OF YAW RATE SENSOR MODEL<br />

Input 1: Y-Force at node 1 Output 1: Y-Displacement of node 1<br />

Input 2: Z-Force at node 1 Output 2: Z-Displacement of node 1<br />

Input 3: X-Force at node 2 Output 3: X-Displacement of node 2<br />

Input 4: Z-Force at node 2 Output 4: Z-Displacement of node 2<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

TABLE II<br />

RUNTIMES FOR THE SENSITIVITY COMPUTATIONS<br />

Evaluation of transfer function of nominal FOM for 500<br />

2203.5s<br />

frequency points<br />

Extra costs for evaluating FOM transfer function sensitivity<br />

599.4s<br />

w.r.t. via (20) for 500 frequency points<br />

Extra costs for evaluating FOM transfer function sensitivity<br />

237.9s<br />

w.r.t. via (20) for 500 frequency points<br />

Total costs for FOM evaluation 3040.8s<br />

<br />

Generation of projection matrix and ROM for nominal FOM 68.8s<br />

Evaluation of transfer function of nominal ROM for 500<br />

frequency points<br />

0.6s<br />

Extra costs for generating parametric ROM w.r.t. 1.6s<br />

Extra costs for evaluating ROM transfer function sensitivity<br />

w.r.t. for 500 frequency points<br />

0.5s<br />

Extra costs for generating parametric ROM w.r.t. 2.4s<br />

Extra costs for computing ROM transfer function sensitivity<br />

w.r.t. for 500 frequency points<br />

1.0s<br />

Total costs for ROM generation and evaluation 74.9s<br />

all parameter variations.<br />

For reference, we also computed the FOM’s transfer<br />

function and its sensitivities w.r.t. the nominal values of and<br />

at 500 logarithmically spaced frequency points between<br />

and Hz. This enabled us to compute the exact relative<br />

errors of the ROMs according to (16). The frequency of the<br />

model is almost constant between and Hz, so we omitted<br />

this range to improve readability of the plots. Finally, we the<br />

projection matrix for the nominal FOM needed for fast<br />

transfer function and sensitivity computations and for<br />

generating the parametric ROMs w.r.t. and with method<br />

PMORnom. As the FOM is symmetric, a single projection<br />

matrix was sufficient.<br />

The runtimes for the particular model generation and<br />

evaluation steps are summarized in Table II. Compared to ,<br />

the computation of the FOM transfer function sensitivities for<br />

took more than twice as much of the time. This was caused<br />

by the different number of non-zero elements in the matrix<br />

coefficients of and respectively. The former has<br />

4,258,012 non-zero elements, because applies to all beam<br />

elements, while the latter has 36,320 non-zero elements due to<br />

a more local influence of the parameter on the finite element<br />

model. Therefore, the evaluation of (20) takes more time for<br />

, even though a higher interpolation order has been used for<br />

. In contrast, it is slightly faster to generate the parametric<br />

ROM for , because the number of non-zero matrices in (23)<br />

amounts to 5 for and 15 for due to the different<br />

interpolation orders used to approximate the parameter<br />

dependency of the system matrices.<br />

Figure 2 shows the progress of the error indicator for<br />

the AMPXT run for generating the projection matrix for the<br />

nominal ROM. The frequency range of interest was set to<br />

Hz and AMPXT performed 10<br />

WCAWE iterations for the initial expansion and other<br />

4 iterations for a second expansion point with<br />

10 6 . We forced the projection matrices to be real, which<br />

doubled the number of columns added by the second<br />

expansion point. Thus, the resulting ROMs have a state space<br />

69


100%<br />

0.1%<br />

1e-04%<br />

1e-07%<br />

1e-10%<br />

1e-13%<br />

10 -2 10 0 10 2 10 4 10 6<br />

Frequency (Hz)<br />

Fig. 2. Progress of the AMPXT error indicator<br />

0.01%<br />

1e-04%<br />

1e-06%<br />

err for H(s)<br />

1e-08%<br />

err for H (s) E<br />

err for H (s) θ<br />

1e-10%<br />

10 2 10 3 10 4 10 5 10 6<br />

Frequency (Hz)<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

TABLE III<br />

RUNTIMES AND MAXIMUM RELATIVE ERRORS FOR PARAMETER SWEEP<br />

Fig. 3. Maximum relative errors of nominal ROM transfer function and<br />

Maximum relative error for parameter sweep w.r.t. 0.08%<br />

sensitivities according to (16)<br />

dimension of 72. Using the FOM reference solutions, we neighborhood of the nominal value.<br />

computed the exact relative errors of the ROM transfer For PMORinterp, a parameter sweep for the magnitude and<br />

function and its sensitivities w.r.t. and shown in phase of the ROM transfer function is plotted for selected<br />

Figure 3. The plots have a maximum of 0.06% and thus input-output-pairs in Figures 5 and 6. Compared to ,<br />

clearly demonstrate that the error indicator must not be clearly affects a broader portion of the frequency range.<br />

misinterpreted as an error bound, but is sufficient to monitor<br />

V. CONCLUSIONS AND OUTLOOK<br />

the convergence of ROM.<br />

In summary, the computation of 500 frequency samples of In this paper we presented an extension of existing MOR<br />

the transfer function and its sensitivities w.r.t. and takes methods for rapid and accurate computation of the frequency<br />

roughly 51 minutes for the FOM opposed to a total of 75 response and its sensitivities w.r.t. arbitrary parameters for<br />

seconds for generating and evaluating the parametric ROMs large scale finite element models. Optimization tasks with an<br />

with PMORnom. Hence, we obtained a speedup factor of objective function dependent on the transfer function will<br />

40.6.<br />

greatly benefit from the obtained speedup factor of more than<br />

For parameter sweeps, the speedup through model order 40.<br />

reduction is even more extreme. We considered an increment The lack of tools for the generation of parameter dependent<br />

of m for the suspension beam thickness , such that 21 full order models has been overcome with a system<br />

parameter values are obtained in the interval of m.<br />

interpolation approach that proved to be practical. For the<br />

sake of simplicity, we focused on interpolation of a single<br />

This results in a variation of rougly w.r.t the nominal<br />

parameter at a time, but the method can be easily extended to<br />

value of . For the Young’s modulus we considered 21<br />

multivariate polynomial interpolation involving cross terms<br />

parameter values in the interval of<br />

GPa, yielding<br />

for the interpolation polynomial. However, the more<br />

a variation of w.r.t the nominal value of . This sums parameters are involved, the more expensive the<br />

up to 41 distinct transfer function evaluations for 500<br />

10%<br />

frequency points each.<br />

Table III lists the corresponding runtimes, speedup factors, 1%<br />

and the maximum relative transfer function errors as defined<br />

in (16) taken over all 500 frequency points for all 41<br />

0.1%<br />

parameter values. Note that we did not use interpolated 0.01%<br />

parametric FOMs for the computation of the full order transfer<br />

0.001%<br />

functions which would have increased computation time even<br />

130 140 150 160 170 180 190<br />

further. Instead, we generated 41 single FOMs for fixed<br />

E (GPa)<br />

PMORnom<br />

parameter values and the time to generate these FOMs and<br />

PMORinterp<br />

export them to MATLAB ®<br />

0.001%<br />

was not accounted for the time<br />

10%<br />

measurements.<br />

1%<br />

Figure 4 shows a parameter dependent comparison of the<br />

0.1%<br />

maximum transfer function errors obtained with method<br />

PMORnom and PMORinterp over the frequency range of<br />

0.01%<br />

0.001%<br />

interest. Clearly, PMORinterp provides an accurate<br />

2.8 3 3.2<br />

approximation of the transfer function over the whole<br />

θ (µ m)<br />

3.4 3.6 3.8<br />

parameter range while PMORnom is only accurate in the Fig. 4. Maximum relative transfer function errors for parameter sweep<br />

FOM<br />

PMORnom<br />

PMORinterp<br />

Total costs for transfer function parameter sweep for 500<br />

frequency points and 41 parameter values<br />

25.1h<br />

Total costs for generation of param. ROMs w.r.t. and 74.9s<br />

Total costs for parameter sweep w.r.t. and 27.2s<br />

Speedup factor for parameter sweep<br />

885x<br />

Maximum relative error for parameter sweep w.r.t. 1.07%<br />

Maximum relative error for parameter sweep w.r.t. 78.93%<br />

Total costs for generation of parametric ROM w.r.t. 156.2s<br />

Total costs for generation of parametric ROM w.r.t. 371.8s<br />

Total costs for parameter sweep w.r.t. and 27.6s<br />

Speedup factor for parameter sweep<br />

163x<br />

Maximum relative error for parameter sweep w.r.t. 0.84%<br />

70


10 3<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

10 3<br />

Magnitude<br />

10 1<br />

10 -1<br />

10 -3<br />

10 -5<br />

10 2 10 3 10 4 10 5 10 6<br />

0<br />

-50<br />

in1/out1<br />

in2/out2<br />

in3/out3<br />

in4/out4<br />

Magnitude<br />

10 1<br />

10 -1<br />

10 -3<br />

10 -5<br />

10 2 10 3 10 4 10 5 10 6<br />

0<br />

-50<br />

in1/out1<br />

in2/out2<br />

in3/out3<br />

in4/out4<br />

Phase<br />

-100<br />

Phase<br />

-100<br />

-150<br />

-150<br />

10 2 10 3 10 4 10 5 10 6<br />

Frequency (Hz)<br />

Fig. 5. PMORinterp transfer function sweep for<br />

GPa,<br />

curves for nominal value<br />

GPa are emphasized<br />

computational costs will be (curse of dimensionality).<br />

PMORnom is a very efficient method allowing parameter<br />

sweeps for an arbitrary amount of parameters at the<br />

computational costs of only a single run of AMPXT for the<br />

nominal system. But for complex parameter dependencies, it<br />

does not deliver good accuracy for a broad parameter range as<br />

we demonstrated with the thickness of the suspension beam in<br />

section IV. In contrast, PMORinterp manages to capture the<br />

parameter dependence of the transfer function with a<br />

maximum relative error of less than 1% at the expense of a<br />

smaller speed up. Also, the more parameters are to be<br />

investigated, the more expensive PMORinterp becomes.<br />

For the application of (parametric) ROMs in system<br />

simulation, we have in-house tools to export these ROMs to<br />

behavior modeling languages like VHDL-AMS, Verilog-A,<br />

MAST ®, MATLAB ® /Simulink ® , and others. Hence, the<br />

ROMs can be coupled with other models for accelerated<br />

analysis of the system behavior.<br />

As part of current research, we seek to combine the<br />

promising work of [11] with an adaptive strategy to<br />

automatically select interpolation points, expansion points and<br />

the number of moments to be matched simultaneously w.r.t.<br />

multiple parameters .<br />

ACKNOWLEDGEMENT<br />

This paper is partially based on the project DIONYSYS<br />

which is supported by the German Federal Ministry of<br />

Education and Research under Grant No. 01M3084G. The<br />

authors of this paper are solely responsible for its content.<br />

REFERENCES<br />

[1] Antoulas, A. C.: Approximation of Large-Scale Dynamical Systems.<br />

Philadelphia, PA, USA: Society for Industrial and Applied Mathematics,<br />

2005.<br />

[2] Grimme, E. J.: Krylov projection methods for model reduction. Ph.D.<br />

dissertation, University of Illinois, 1997.<br />

[3] Grimme, E. J. and Gallivan, K.: A Rational Lanczos Algorithm for<br />

Model Reduction II: Interpolation Point Selection. Numerical<br />

Algorithms, vol. 12, pp. 33-63, 1998.<br />

[4] Reitz, S.; Bastian, J.; Haase, J.; Schneider, P.; Schwarz, P.: System level<br />

modeling of microsystems using order reduction methods. Symp.<br />

10 2 10 3 10 4 10 5 10 6<br />

Frequency (Hz)<br />

Fig. 6. PMORinterp transfer function sweep for<br />

m,<br />

curves for nominal value<br />

m are emphasized<br />

Design, Test, Integration and Packaging of MEMS/MOEMS", Cannes,<br />

France, 5-8 May 2002<br />

[5] Slone, R. D.; Lee, R.; Lee, J.-F.: Well-conditioned asymptotic waveform<br />

evaluation for finite elements. IEEE Trans. Antennas Propag., vol. 51,<br />

2003, pp. 2442-2447<br />

[6] Reitz, S.; Döring, C.; Bastian, J.; Schneider, P.; Schwarz, P.; Neul, R.:<br />

System level modeling of the relevant physical effects of inertial sensors<br />

using order reduction methods. Proceedings: Symposium on Design,<br />

Test, Integration and Packaging of MEMS/MOEMS, Montreux,<br />

Switzerland, 12 - 14 May 2004, pp.383-387<br />

[7] Köhler, A.; Reitzinger, S.: An adaptive multi-point multi-moment model<br />

order reduction algorithm for fast broadband simulation of large-scale<br />

3D electromagnetic models. In: Sommer, Ralf (ed.): ANALOG 2010.<br />

Entwicklung von Analogschaltungen mit CAE-Methoden: Vorträge der<br />

11. ITG/GMM-Fachtagung vom 22. bis 24. März 2010 in Erfurt, VDE-<br />

Verlag, 2010 (ITG-Fachbericht 221), S. 39-52<br />

[8] Webb, J.P.: Design sensitivity of frequency response in 3-D-finiteelement<br />

analysis of microwave devices. IEEE Trans. Magn., vol.38,<br />

2002, pp. 1109-1112<br />

[9] Webb, J.P.; , Finite-element analysis of the effect of geometric tolerances<br />

on performance over a frequency band. IEEE Transactions on<br />

Microwave Theory and Techniques, vol.52, no.1, pp. 306- 310, Jan.<br />

2004<br />

[10] Köhler, A.; Dyczij-Edlinger, R.; Farle, O.; Lohmann, B. (ed.) and Kugi,<br />

A. (ed.): “Schnelle Berechnung von Empfindlichkeiten in 3D EM<br />

Strukturen mittels Modellordnungsreduktion”. Tagungsband GMA<br />

Fachausschuss 1.30 Modellbildung, Identifikation und Simulation in der<br />

Automatisierungstechnik, Wien, 2010.<br />

[11] Farle, O. and Dyczij-Edlinger, R.: Numerically Stable Moment Matching<br />

for Linear Systems Parameterized by Polynomials in Multiple Variables<br />

With Applications to Finite Element Models of Microwave Structures.<br />

IEEE Transactions on Antennas and Propagation, 2010.<br />

[12] Panzer, H.; Mohring, J.; Eid, R. and Lohmann, B.: Parametric Model<br />

Order Reduction by Matrix Interpolation, at - Automatisierungstechnik,<br />

Oldenbourg Wissenschaftsverlag GmbH, 2010, vol.58, pp. 475-484<br />

[13] Köhler, A.; Clauß, C.; Reitz, S., Haase, J.; Schneider, P.; Troch, I. (ed.)<br />

and Breitenecker, F. (ed.): Snapshot-Based Parametric Model Order<br />

Reduction. Proceedings MATHMOD 09 Vienna - Full Papers CD<br />

Volume, 2009.<br />

[14] Köhler, A.; Reitz, S., Clauß, C.; Schneider, P. and Haase, J.:<br />

Parametrische Modellordnungsreduktion bei der automatisierten<br />

Modellgenerierung für den Elektronik- und Mikrosystemtechnikentwurf.<br />

9. Chemnitzer Fachtagung Mikrosystemtechnik, Chemnitz, 2009.<br />

[15] Schenk, O. and Gärtner, K.: Solving Unsymmetric Sparse Systems of<br />

Linear Equations with PARDISO, Journal of Future Generation<br />

Computer Systems, 20(3):475--487, 2004.<br />

[16] Schenk, O. and Gärtner, K.: On fast factorization pivoting methods for<br />

symmetric indefinite systems, Elec. Trans. Numer. Anal., vol. 23, pp.<br />

158-179, 2006<br />

71


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Integration of Ferroelectric BaTiO 3 on Metallic Ni<br />

Tapes for Power Generation<br />

Greg Collins, Emanuel Silva, Ming Liu, David Elam, Chunrui Ma,<br />

Andrey Chabanov, Arturo Ayon and Chonglin Chen<br />

The University of Texas at San Antonio<br />

One UTSA Circle<br />

San Antonio, TX 78249, USA<br />

Jie He, Jiechao Jiang and Efstathios Meletis<br />

The University of Texas at Arlington<br />

Arlington, TX 76019, USA<br />

Abstract- Ferroelectric BaTiO 3 thin films were integrated<br />

directly on metallic Ni tapes by using pulsed laser for energy<br />

harvesting applications. Microstructure studies from x-ray<br />

diffraction and electron microscopy indicate that the as-grown<br />

BaTiO 3 thin films have pure BaTiO 3 crystal phase which<br />

consists of the crystalline assemblage of nanopillars with<br />

average cross sections from 100 nm to 200 nm directly on the<br />

Ni tapes. The BaTiO 3 films have good interface structures and<br />

strong adhesion to the Ni metallic tapes. Dielectric<br />

measurements have shown the hysteresis loop at room<br />

temperature in the film with a large remnant polarization,<br />

indicating that the ferroelectric domains have been created in<br />

the as-deposited BTO films. The successful integration of<br />

ferroelectric thin films directly on metallic materials is<br />

considered to be very promising for the development of<br />

energy harvesting devices.<br />

I. INTRODUCTION<br />

Ferroelectric materials have been considered as the most<br />

important materials for energy harvesting and data<br />

storage due to their high dielectric constant and good<br />

insulating properties. Among them, Barium Titanate,<br />

BaTiO 3 (BTO), is one of the most important ferroelectric<br />

materials that has attracted great attention for its remarkable<br />

characteristics including high dielectric constant, good<br />

ferroelectric properties, and large electro-optic and nonlinear<br />

optic coefficients. Furthermore, this material has<br />

excellent piezoelectric properties resulting in broad<br />

applications in control systems, structural health monitoring<br />

and energy harvesting. Therefore, the major challenge is to<br />

successfully integrate BTO thin films directly on metallic<br />

substrates with optimum metal/film interface properties for<br />

various device applications such as supercapacitance and<br />

power generation, among others. In fact, various techniques<br />

have been developed to fabricate ferroelectric BTO thin<br />

film for device fabrications.<br />

Recently, BTO thin films have been deposited on various<br />

substrates including oxide single crystal and semiconductor<br />

substrates using a variety of techniques such as pulsed laser<br />

deposition (PLD), hydrothermal method, sol-gel processing,<br />

solid-state reactions, and metal-organic chemical vapor<br />

deposition [1-6]. However, many challenges remain,<br />

especially the interface-related issue observed when<br />

fabricating ferroelectric thin films on structural materials<br />

(steel, aluminum, titanium, etc.) for energy harvesting<br />

device development. Publications describing the fabrication<br />

of ferroelectric thin films on metallic materials were not<br />

available until the reports of our recent achievements of insitu<br />

fabrication of BTO thin films on the typical structural<br />

material Ni using PLD system [7-8]. In the report contained<br />

herein, we describe our recent achievements on the<br />

fabrication of ferroelectric BTO thin films directly on<br />

metallic Ni tapes with good crystallinity and excellent<br />

dielectric properties.<br />

II. EXPERIMENTAL<br />

BaTiO 3 thin films were deposited on amorphous nickel<br />

substrates in a PLD system using a KrF excimer laser with a<br />

wavelength of 248 nm with an energy density of about 2.5<br />

J/cm 2 and a laser repetition rate of 5Hz. The BTO thin films<br />

were fabricated with details that can be found from the<br />

literatures [7-8]. X-ray diffraction (XRD) was employed to<br />

understand the crystal phases and the transmission electron<br />

microscopy (TEM), plan-view and cross-section, were<br />

employed to study the microstructure of the as-grown films<br />

and interfacial layers. The dielectric properties were<br />

characterized by using a Radiant RT6000 for understanding<br />

the physical properties of the as-grown films and an Agilent<br />

AFM/PFM with lock-in amplifier was used to observe the<br />

piezoelectric response.<br />

III. CHARACTERIZATION<br />

Fig. 1 is the XRD θ-2θ pattern from the as-deposited<br />

BTO thin film on Ni showing that all the peaks are from the<br />

polycrystalline BTO phases and polycrystalline Ni<br />

substrate. These peak positions suggest that the Ni substrate<br />

is cubic phase and the BTO layer belongs the tetragonal<br />

phase.<br />

72


Intenstiy (counts/second)<br />

Fig. 1. X-ray data showing relative peak intensity.<br />

It is surprisingly found that the as-grown BTO film has a<br />

preferred c-axis oriented revealing from the stronger<br />

intensity from the (200) diffraction in the BTO film. The<br />

BTO films were found to have the tetragonal structure with<br />

lattice constant a = 4.00Å and c=4.03 Å. These results were<br />

verified by using both cross sectional and plan view TEM<br />

techniques. As seen in Fig. 2, the selected-area electron<br />

diffraction (SAED) pattern shows the as-grown films have a<br />

pure crystalline phase with a tetragonal structure with a<br />

space group of p4mm and lattice parameter of a=3.992Å<br />

and c=4.036 Å. The diffraction rings numbered in 1, 2, 3, 4,<br />

5 and 6 have a lattice spacing of 4.0 Å, 2.8 Å, 2.3 Å, 2.0<br />

Å, 1.8 Å, 1.64 Å and 1.4 Å, respectively, which can be<br />

identified as the (001), (101), (111), (002), (102) and (112)<br />

reflection of tetragonal BTO. The BTO films have a lateral<br />

width from 30 nm to 100 nm, as seen from the plan-view<br />

TEM. The BTO film is found to be very well bound the Ni<br />

tape with a sharp NiO interlayer in between with a thickness<br />

of about 100 nm. The BTO film has a thickness of about<br />

200 nm and consists of nanopillar structures with lateral<br />

dimensions of about 100 nm. This great achievement<br />

suggests that the BTO films directly on Ni tape has paved a<br />

way to develop supercapacitance devices and power<br />

generation for the energy harvest applications.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

To further understand the growth nature and physical<br />

property of the as-grown BTO films, Piezoelectric<br />

responsive Microscopy (PRM) was employed to study the<br />

multi- domain structures and domain distributions. As seen<br />

figure 3, ferroelectric domains are mainly perpendicular to<br />

the film surface with uniform polarization although there<br />

are about 15% ferroelectric domains with in plane<br />

polarized. The PRM results further confirm that the BTO<br />

film has a preferred c-axis oriented. The ferroelectric<br />

polarization hysteresis loop measurement was also<br />

performed at room temperature. The ferroelectricity was<br />

successfully achieved on the as-deposited BTO film. The<br />

room temperature ferroelectric response shows data for<br />

spontaneous polarization, remnant polarization, and<br />

coercive field from the as-deposited BTO layer can be seen<br />

in Fig. 3. It is known that the lattice dipole along the c-axis<br />

for a tetragonal perovskite structure provides the strongest<br />

ferroelectric properties associated with BTO. With BTO<br />

having d-components in the in- and out-of-plane directions<br />

according to the equation giving an optimal angle for<br />

displacement as ~52° [9], the ability to acquire specific<br />

orientation of the film is desirable for specific device<br />

application. The a-axis oriented BTO film cannot show<br />

ferroelectric hysteresis due to the randomly oriented<br />

polarization, the large spontaneous polarization obtained in<br />

the as-deposited film is consistent with the result of the<br />

microstructure measurement that the film has highly c-axis<br />

oriented texture structure. It is surprisingly found that the<br />

as-grown BTO films on Ni metal tapes with a NiO buffered<br />

layer exhibit very high resistivity value of 10 10 Ω•cm which<br />

well suits BTO’s ferroelectric reliance on a high dielectric<br />

constant. The ferroelectricity of the BTO films was<br />

evidenced from the hysteresis loop. The room temperature<br />

spontaneous polarization, remnant polarization, and<br />

coercive field from the as-deposited BTO layer can be<br />

obtained to be about 35 µC/cm 2 and 15 µC/cm 2 ,<br />

respectively, with a coercive field of 25 kV/cm. The<br />

piezoelectric response (Fig. 4) of the as-deposited BTO film<br />

was surprisingly found to be 130 (x 10 -12 C/N) which is<br />

about 30% larger than the values (90 – 100 x 10 -12 C/N) of<br />

BTO single crystalline and polycrystalline bulk<br />

materials.<br />

Fig. 2. TEM and SAED imagery showing grain characteristics.<br />

Fig. 3. Polarization response of BTO films at selected voltages.<br />

73


The large piezoelectric response might result from the<br />

uniform nanodomain structures as well as the NiO interlayer<br />

with a lattice constant of 4.18Å that closely matches BTO’s<br />

parameters. The BTO films offer a lead-free option to PZT<br />

and are found to be more chemically stable than some other<br />

materials when applied to metal substrate [10-11]. The<br />

nature of the mechanisms is under investigation and will be<br />

reported later on.<br />

Fig. 4. Piezoresponsive Force Microscopy measurement<br />

showing active areas.<br />

IV. SUMMARY<br />

In summary, we have demonstrated achievability to grow<br />

ferroelectric BaTiO 3 thin films directly on metallic Ni<br />

substrates by optimizing the growth parameters and<br />

conditions. The as-deposited BTO films have nanopillar,<br />

crystalline tetragonal structures with a good interface with<br />

respect to the substrate. The microstructural studies reveal<br />

that BaTiO 3 films are composed of crystalline assemblage<br />

of nanopillars with average cross sections from 100 nm to<br />

200 nm. The room temperature ferroelectric polarization<br />

measurements show that the ferroelectric domains have<br />

been created in the as-deposited BTO films. Successful<br />

fabrication of such ferroelectric films on the metallic<br />

substrates has significant importance for the development of<br />

new applications such as supercapacitance for energy<br />

storage and power generation for energy harvest. The work<br />

can be extended to integrate other ferroelectric oxide films<br />

with various promising properties to monitor the structural<br />

health materials and the energy harvest applications.<br />

ACKNOWLEDGMENT<br />

This work is partially supported by the National<br />

Science Foundation under Award Number NSF/CMS-<br />

0528873 and NSF/CMMI-0709293, the Army Research<br />

Office under award number 54484-RT-ISP, and the State of<br />

Texas through the TcSUH.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

REFERENCES<br />

[1] G. M. Davis, and M.C. Gower, “Epitaxial growth of thin films of<br />

BaTiO 3 using excimer laser,” Appl. Phys. Lett., vol. 55, pp. 112-<br />

114, July 1989.<br />

[2] K. Kajiyoshi, N. Ishizawa, and M. Yoshimura, “Heteroepitaxial<br />

growth of BaTiO 3 thin-films on SrTiO3 substrates under<br />

hydrothermal conditions,” Jpn. J. Appl. Phys., vol. 30, pp. L120-<br />

L123, April 1990.<br />

[3] S. Song, J. Zhai, and X. Yao, “Effects of buffer layer on the<br />

dielectric properties of BaTiO 3 thin films prepared by sol–gel<br />

processing,” Mater. Sci. and Engin. B, vol. 145, pp. 28-33,<br />

December 2007.<br />

[4] T. Garcia, P. Bartolo-Perez, E. de Posada, J.L. Pena, and M.<br />

Villagran-Muniz, “Studies of Pulsed Laser Deposition. Processes<br />

of BaTiO 3 Thin Films,” Surf. Coat. Techno., vol. 201, pp. 3621-<br />

3628, February 2006.<br />

[5] C.H. Lei, “The growth of BaTiO 3 films on (001) MgAl 2O 4<br />

substrates by pulsed laser deposition technique,” Thin Solid Films,<br />

vol. 515, pp. 1701-1707, December 2006.<br />

[6] A. Graff, S. Senz, D. Völtzke, H.P. Abicht, and D Hesse,<br />

“Microstructure evolution during BaTiO 3 formation by solid-state<br />

reactions on rutile single crystal surfaces,” J. Euro Ceramic Soc.,<br />

vol. 25, pp. 2201-2206, 2005.<br />

[7] Z. Yuan, J. Liu, J. Weaver, C. L. Chen, J. C. Jiang, B. Lin, V.<br />

Giurgiutiu, A. Bhalla, and R. Y. Guo, “Ferroelectric BaTiO 3 thin<br />

films on Ni metal tapes using NiO as buffer layer,” Appl. Phys.<br />

Lett., vol. 90, 202901, 2007.<br />

[8] J. C. Jiang, E. I. Meletis, Z. Yuan, J. Liu, J. Weaver, C. L. Chen, B.<br />

Lin, V. Giurgiutiu, R. Y. Guo, A. S. Bhalla, D. Liu, and K. W.<br />

White, “Orientation Preferred Structures in BaTiO3 Thin Films on<br />

Ni Substrates,” J. Nano Res., vol. 1, pp. 59-63, June 2008.<br />

[9] J. L. Ruglovsky, J. Y. Li, K. Bhattacharya and H. A. Atwater, “The<br />

effect of biaxial texture on the effective electromechanical<br />

constants of polycrystalline barium titanate and lead titanate thin<br />

films,” Acta Mater., vol. 54, pp. 3657-3663, March 2006.<br />

[10] J. G. Wu, Y. Wang, X. Yuanyu, D. Q. Xiao, J. G. Zhu, and Z. H.<br />

Pu, “Effects of Ag content on the phase structure and piezoelectric<br />

properties of (K 0.44-xNa 0.52Li 0.04Ag x)(Nb 0.91Ta 0.05Sb 0.04)O 3 lead-free<br />

ceramics,” Appl. Phys. Lett., vol. 91, pp. 132914-132914(3), June<br />

2009.<br />

[11] E. Ringgaard and T. Wurlitzer, “Lead Free CaTiO 3-Based<br />

Ceramics: Sintering, Phase Transitions and Dielectric Properties,”<br />

J. Eur. Ceram. Soc., vol. 25, pp. 2701-2706, 2005.<br />

74


11-13 May 2011, Aix-en-Provence, France<br />

, ,<br />

<br />

An Electromechanical Model for clamped-clamped Beam Type Piezoelectric<br />

Transformer<br />

Chi-Shao Chen 1 , Chia-Che Wu 2*<br />

1<br />

Graduate Student<br />

2*<br />

Assistant Professor 1<br />

1,2<br />

Department of Mechanical Engineering, National Chung Hsing University,<br />

250, Kuo Kuang Road, Taichung, Taiwan, 402<br />

Tel: +886-4-22840433 ext 419; Fax: +886-4-22877170;<br />

E-mail: josephwu@dragon.nchu.edu.tw<br />

Abstract- In this paper, an analytical solution of a fixed-fixed<br />

beam type piezoelectric transformer with Euler-Bernoulli beam<br />

assumption is proposed. The electromechanical equations are<br />

first derived for transient motions, and coupled expressions for<br />

the mechanical response and voltage output are obtained. The<br />

resulting equations are further reduced for the case of excitation<br />

around the first resonance frequency. Analyical solutions of<br />

mechanical response, voltage, current, and power outputs are<br />

presented. From analytical model, output voltage depends on<br />

the lengthes of two electrodes, the length of beam, and the<br />

Young’s modulus ratio and thickness ratio between PZT layer<br />

and substrate. The lengthes of input electrodes and output<br />

electrodes should be 0.22 time length of beam to achieve the<br />

largest output when the transformer is excited at first resonance<br />

frequency. The output voltages and the resonance frequencies of<br />

transformers are proportional and inversely proportional to the<br />

lengthes of beams, respectively. The combination of Young’s<br />

modului and thicknesses of PZT layer and substrate change the<br />

position of netural axis and the bending stiffness of beam,<br />

concurrently. However, output voltages of transformers depend<br />

not only on the postion of neutral axes but also on bending<br />

stiffnesses.<br />

I. Introduction<br />

Piezoelectric materials have the piezoelectric effect,<br />

which can convert vibration energy into electrical energy, so<br />

it can be used to make transformers for raising or lowering a<br />

voltage. Piezoelectric transformer (PT) offers many<br />

advantages over the small size, lighter with flat structure,<br />

electromagnetic field immunity, and high transforming ratio.<br />

The idea of a PT was first implemented by Rosen in 1956[1].<br />

It used the effect of couple between electrical and mechanical<br />

energy of piezoelectric materials. Exciting mechanical<br />

vibrations by the part of driver and output voltage can be<br />

induced by the part of generator. Most of the PTs are using<br />

the concept of Rosen-type PT such as uniformly-poled<br />

longitudinal PT [2], stacked disk-type PT [3, 4], and<br />

uniformly-poled disk type PT [5]. M. C. Do et al. used<br />

parallel connection of Rosen-type PTs to increase output<br />

power [6]. T. Inous et al.[7] developed a PT which is a<br />

combination of a longitudinal mode piezoelectric actuator<br />

and a longitudinal mode piezoelectric transducer transverse<br />

in parallel to achieve larger power. However, operating<br />

frequencies of transformers in the literature were usually<br />

from a few kHz to several hundred MHz. External oscillator<br />

and control circuit are required to satisfy the frequency<br />

requirement. However, they will substantially expend the size<br />

and the complexity of transformers.<br />

The PT is not only a mechanical system but also an<br />

electrical system. The electromechanical model approaches<br />

in the recently literature include single degree-of-freedom<br />

(SDOF) models [8], Rayleigh-Ritz method[9], equivalent<br />

circuit method[10], and expansion theory based on the Euler-<br />

Bernoulli beam assumptions [11]. The SDOF modeling<br />

approaches supposes a structure such as a cantilevered beam<br />

as a mass-spring-damper system which is convenient for<br />

coupling the mechanical part and electrical part of<br />

transformer. However, SDOF is just a simple approximation<br />

and it is limited to a single vibration mode. SDOF lacks of<br />

several important information of the system, such as the<br />

dynamic mode shape, the accurate strain or stress distribution<br />

along the beam. Rayleigh-Ritz method is a numerical<br />

approximation technique based on discretization of the<br />

continuous distributed parameter system and it allows<br />

predicting the electromechanical response in higher vibration<br />

modes. The Rayleigh-Ritz method can produce accurate<br />

results with only a small number of terms in the<br />

approximating series, which translates into a discrete model<br />

with a small number of degree of freedom. However, the<br />

Rayleigh-Ritz method can’t use in complex geometry and it’s<br />

not an exact solution. Equivalent circuit model is used to<br />

estimate the electrical characteristics of the PT, such as<br />

voltage ratio between input and output. But, it has no idea<br />

about the mechanical information since all parameters are<br />

transferred into electrical form and some coupled coefficient<br />

must be obtained from the experiments.<br />

Erurk and Inman [11] presented the exact<br />

electromechanical solution of a cantilevered piezoelectric<br />

energy harvester with Euler-Bernoulli beam assumptions.<br />

The electromechanical equations were derived for general<br />

transient motions from expansion series and coupled<br />

expression (not only single vibration mode) for mechanical<br />

response and voltage output were obtained. This method<br />

provides exact solutions of energy harvester. They also used<br />

internal strain rate damping and external air damping to<br />

achieve more accurate model. Backward coupling effect in<br />

the mechanical domain and the contribution from the other<br />

vibration mode were also considered in their model.<br />

In this paper, an analytical solution of a fixed-fixed beam<br />

type piezoelectric transformer with Euler-Bernoulli beam<br />

assumption is proposed. A clamped-clamped beam<br />

transformer consist of a fixed-fixed beam, a layer of<br />

75


piezoelectric film, a pair of electrodes for driver section and<br />

another pair of electrodes for generator section . The pair of<br />

electrodes for driver is located near one end of fixed-fixed<br />

beam, and another pair of electrodes for generator is located<br />

near the other end. Input voltage is applied to electrodes for<br />

driver to excite fixed-fixed beam, and output voltage is<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

generator from electrodes for generator. The input voltage is<br />

assumed to be harmonic in time. The resulting expressions<br />

for the coupled mechanical response and the electrical are<br />

then reduced for the particular case of harmonic behavior in<br />

time. Simple expressions for mechanical response, voltage,<br />

current, and power outputs are also presented.<br />

II. Derivation of the analytical model<br />

We consider the transformer shown in Fig. 1, which is a<br />

clamped-clamped uniform composite Euler-Bernoulli beam.<br />

A layer of PZT and two pairs of electrodes are perfectly<br />

bonded to the substrate. Input voltage is applied to one pair<br />

of electrodes on PZT layer to excite clamped-clamped beam,<br />

and output voltage is generated from the other pair of<br />

electrodes on PZT layer. The energy flows from the electrical<br />

energy of the input to the mechanical fields of vibration, then<br />

back to the output electric energy. Two pairs of electrodes are<br />

assumed to be perfectly conductive, and they cover surface of<br />

the PZT at the bottom and at the top. The lengths of<br />

electrodes is much larger than the thicknesses of PZT so that<br />

the electrical filed is uniform over the length of electrodes.<br />

The simple electrical circuit consists of a resistive load. The<br />

leakage resistance of PZT is much higher than the load<br />

resistance and it can be neglected in the electrical circuit. The<br />

capacitance of the PZT is considered as internal to the PZT,<br />

and it is not ignored although it is not shown in figure 1. The<br />

capacitance term will be shown in piezoelectric constitutive<br />

relations. The transformer is excited by one pair of PZT in<br />

figure 1. The Governing equation of motion can be written as<br />

[1]<br />

2<br />

5<br />

2<br />

∂ M x,<br />

t ∂ wx,<br />

t ∂wx,<br />

t ∂ wx,<br />

t<br />

c m 0<br />

2 s<br />

I c<br />

4<br />

a<br />

(1)<br />

2<br />

∂x<br />

∂x<br />

∂t<br />

∂t<br />

∂t<br />

Where w(x,t) is the transverse deflation of the beam<br />

relative to natural axis, c s I is the equivalent damping term of<br />

the composite cross section due to structural viscoelasticity<br />

( c s<br />

is the equivalent coefficient of strain rate damping and I<br />

is the equivalent area moment of inertia of PZT-substrate<br />

composite cross section), c a is the air damping coefficient, m<br />

is the mass per unit length of the beam, M is internal moment<br />

of cantilever can be written as [2]<br />

M<br />

<br />

x<br />

<br />

<br />

<br />

2<br />

∂ w x,<br />

t<br />

YI v(<br />

t)<br />

(2)<br />

∂x<br />

, t<br />

2<br />

Figure 1 clamped-clamped beam type transformer<br />

Where v(t) is the voltage across the PZT, is the<br />

piezoelectric coupling term and YI is the bending stiffness of<br />

the composite cross section given by<br />

<br />

bYs<br />

3<br />

3 3<br />

YI nhc<br />

(1 n)<br />

hb<br />

ha<br />

3<br />

(3)<br />

YP<br />

n =<br />

Y<br />

(4)<br />

Where Y p and Y s is Young’s modulus of PZT and<br />

substructure, h c is the position of the top of PZT layer from<br />

the neutral axis, h b is the position of the bottom of the PZT<br />

layer from the neutral axis, h a is the position of the bottom of<br />

the substructure layer from the neutral axis and the couple<br />

term can be written as<br />

s<br />

Ypd31b<br />

2 2<br />

( hc<br />

hb<br />

)<br />

2h<br />

(5)<br />

Where d 31 is piezoelectric coefficient, where h p is the<br />

thickness of PZT, b is the width of the beam. Because<br />

electrode of driver doesn’t cover entire cantilever but the<br />

region from 0 to x 1 , and electrode pair of sensor part covers<br />

from x 2 to L, then Eq. (2) should be multiplied by<br />

H( x)<br />

H(<br />

x x1)<br />

, where H(x) is the Heaviside function. So<br />

rewrite Eq. (2) as<br />

p<br />

2<br />

w(<br />

x,<br />

t)<br />

M ( x,<br />

t)<br />

YI v(<br />

t)<br />

H<br />

( x)<br />

H ( x x1<br />

) (6)<br />

2<br />

x<br />

Then employing Eq. (6) in Eq. (1), and considering moment<br />

generated from output electrode give<br />

4<br />

∂ w x<br />

YI<br />

4<br />

∂x<br />

d<br />

vin<br />

t<br />

dx<br />

5<br />

2<br />

, t ∂ wx,<br />

t ∂wx,<br />

t ∂ wx,<br />

t<br />

c I<br />

c<br />

4<br />

∂x<br />

∂t<br />

1 <br />

v<br />

dx <br />

x d<br />

x<br />

x <br />

<br />

s<br />

out<br />

t<br />

<br />

<br />

m<br />

∂t<br />

d<br />

dx<br />

Then we assume a solution of Eq. (8) in the form<br />

a<br />

∂t<br />

x<br />

x d<br />

x<br />

L<br />

2<br />

<br />

2<br />

<br />

<br />

0<br />

dx <br />

(7)<br />

76


11-13 May 2011, Aix-en-Provence, France<br />

<br />

∑ ∞ 1 t<br />

r<br />

wr<br />

t<br />

<br />

w x,<br />

t <br />

r<br />

x<br />

r<br />

t<br />

<br />

(8) r<br />

t<br />

<br />

<br />

<br />

r1 vin<br />

t vout<br />

t e sin wdr<br />

t d<br />

0<br />

r1<br />

dr<br />

(20)<br />

wherer<br />

xare the normal modes of the system, and <br />

r<br />

t<br />

<br />

2<br />

where dr<br />

r<br />

1<br />

r<br />

is the damped angular frequency.<br />

are modal coordinate of clamped-clamped beam for the rth<br />

In order to obtain the electrical circuit equation, one<br />

mode. Mass-normalized modes can describe undamped free<br />

should consider the following piezoelectric constitutive<br />

vibration as [13]<br />

relation<br />

r<br />

x Ar<br />

cosh<br />

r<br />

x cosr<br />

x <br />

r<br />

sinh<br />

r<br />

x sin r<br />

x<br />

(9)<br />

T<br />

D3 x, t d31T1<br />

33E3<br />

(21)<br />

where r<br />

can be obtained from the characteristic equation<br />

given by<br />

<br />

cos r<br />

<br />

cosh r<br />

1<br />

(10)<br />

L L<br />

and is given by<br />

r<br />

cosh rL<br />

cos rL<br />

<br />

r<br />

(11)<br />

sinh L sin L<br />

The mode-shapes satisfy the orthogonality condition<br />

L<br />

0(<br />

r s)<br />

m s<br />

r<br />

( x)<br />

dx <br />

1(<br />

r s)<br />

x 0<br />

L<br />

4<br />

d <br />

r<br />

( x)<br />

0( r s)<br />

YI s<br />

dx <br />

4 2<br />

dx <br />

( r s)<br />

x 0<br />

r<br />

(12)<br />

2<br />

where r<br />

is the undamped natural frequency for rth mode<br />

given by<br />

2 YI<br />

r r<br />

2<br />

mL<br />

(13)<br />

and by eq. (12) we can obtain<br />

A r<br />

1 mL<br />

(14)<br />

Use eq. (7) in eq. (6) and with orthogonality condition<br />

given by eq. (11) yields the following equation:<br />

2<br />

<br />

r<br />

t<br />

<br />

2<br />

r <br />

rr<br />

t<br />

r<br />

r<br />

t<br />

<br />

r1vin<br />

t<br />

<br />

r<br />

2vout<br />

t<br />

0<br />

(15)<br />

where<br />

dr<br />

x<br />

dr<br />

x<br />

<br />

r1<br />

<br />

<br />

r 2<br />

<br />

(16)<br />

dx<br />

xx<br />

dx<br />

1<br />

xx2<br />

Since 1st normalized modes r<br />

xare symmetric in the x<br />

direction<br />

dr<br />

x<br />

dr<br />

x<br />

<br />

(17)<br />

dx<br />

xx<br />

dx<br />

1 xx2<br />

therefore <br />

r1<br />

equal <br />

r 2<br />

, then Eq. (15) rewrite as<br />

2<br />

<br />

r<br />

t<br />

<br />

2<br />

r <br />

r<br />

r<br />

t<br />

r<br />

r<br />

t<br />

<br />

r1v<br />

in<br />

t<br />

<br />

vout<br />

t<br />

0 (18)<br />

and<br />

csIr<br />

ca<br />

r<br />

<br />

(19)<br />

2YI<br />

2mr<br />

is the equivalent damping term that includes the effect of<br />

strain rate damping and air damping.<br />

The solution of Eq. (19) can be expressed by the Duhamel<br />

integral:<br />

r<br />

r<br />

where D 3 is electrical displacement, and T 1<br />

is axial stress in<br />

term of Young’s modulus of PZT Y p<br />

and axial strain S 1<br />

. E3<br />

is<br />

the electrical field of generator( E<br />

3<br />

vout<br />

( t)<br />

hp<br />

), and <br />

T 33<br />

is the<br />

permittivity at constant stress. It can be replaced by<br />

permittivity at constant strain, as [4]<br />

s T<br />

d31Y<br />

2 33<br />

<br />

33<br />

<br />

p<br />

(22)<br />

so Eq. (22) can be written as<br />

s vout<br />

D3 x, t d31Y<br />

pS1x,<br />

t<br />

<br />

33<br />

(23)<br />

hp<br />

Bending strain for PZT layer is not a constant, it increases<br />

or decreases linearly in polarization direction, y direction.<br />

The average bending strain can be expressed as a function of<br />

distance h pc of the center of the PZT layer (in thickness<br />

direction) to the neutral axis and curvature of the beam.<br />

2<br />

∂ w<br />

<br />

x,<br />

t s vout<br />

t<br />

<br />

D3<br />

x, t d31Y<br />

phpc<br />

<br />

2 33<br />

(24)<br />

∂x<br />

hp<br />

Electrical charge q(t) can be obtained by integrating the<br />

electrical displacement over the electrode area.<br />

2<br />

s<br />

L w<br />

<br />

x,<br />

t<br />

<br />

33<br />

q t D3dA<br />

( d31Yph<br />

pc<br />

vout<br />

t<br />

) bdx<br />

A <br />

<br />

xx<br />

2<br />

2<br />

x<br />

hp<br />

(25)<br />

Current can be obtained by first differential of<br />

electrical charge at time t.<br />

3<br />

s<br />

dq<br />

<br />

t<br />

L wx,<br />

t dvout<br />

t<br />

<br />

33b<br />

i t d31Y<br />

h b dx<br />

( L x2<br />

)<br />

2<br />

dt<br />

p pc<br />

<br />

<br />

x x2<br />

x<br />

t<br />

dt h<br />

(26)<br />

The output voltage across the resistive load is given by<br />

vout<br />

t<br />

Rli(<br />

t)<br />

<br />

<br />

3<br />

s<br />

L wx,<br />

t dv <br />

<br />

out<br />

t <br />

33b<br />

Rl<br />

d31Y<br />

phpcb<br />

dx <br />

( L x2)<br />

<br />

x<br />

x<br />

2<br />

2<br />

<br />

x<br />

t<br />

dt hp<br />

<br />

(27)<br />

The electrical circuit equation can be represented by<br />

s<br />

3<br />

v L<br />

out<br />

t dvout<br />

t b<br />

wx<br />

t<br />

<br />

33 ( L x2<br />

) d Yphpcb<br />

,<br />

31<br />

dx<br />

R<br />

x x<br />

2<br />

l<br />

dt h<br />

2<br />

p<br />

x<br />

t<br />

(28)<br />

Using Eq. (8) in Eq. (28) yields<br />

dv <br />

<br />

<br />

<br />

out<br />

t hp<br />

dr<br />

t<br />

<br />

v<br />

s<br />

out<br />

t (29)<br />

dt Rl<br />

33<br />

b( L x2)<br />

r1<br />

dt<br />

where<br />

p<br />

77


11-13 May 2011, Aix-en-Provence, France<br />

<br />

L 2<br />

d31Y<br />

phpchp<br />

( ) d31Y<br />

phpch<br />

The steady-state output voltage eq. (31) can be rewritten as<br />

d x<br />

p dr<br />

x<br />

<br />

r<br />

<br />

dx <br />

s<br />

2<br />

s<br />

33( L x2)<br />

<br />

(30)<br />

<br />

<br />

xx<br />

dx ( )<br />

2<br />

33<br />

L x2<br />

dx<br />

1<br />

j <br />

<br />

<br />

xx<br />

2<br />

<br />

c j t dr<br />

t<br />

voute<br />

<br />

<br />

c <br />

r1<br />

dt<br />

(34)<br />

From Eq. (29), v out<br />

t<br />

yield<br />

then, using Eq. (33) in Eq. (34), the output voltage across the<br />

t<br />

<br />

L t<br />

<br />

dr<br />

<br />

t<br />

<br />

c<br />

<br />

resistive load due to the harmonic excitation can be expressed<br />

c<br />

vout<br />

t e e r<br />

dt c<br />

xx<br />

(31)<br />

2<br />

<br />

r1<br />

dt<br />

as<br />

<br />

<br />

Where τ c is time constant of the circuit given by<br />

jr<br />

r<br />

2 2<br />

s<br />

R<br />

l<br />

33b( L x2)<br />

r1<br />

r<br />

2 j<br />

rr<br />

<br />

c<br />

<br />

(32)<br />

vout<br />

<br />

v<br />

<br />

in<br />

h<br />

jr<br />

<br />

r<br />

1<br />

j<br />

c<br />

p<br />

<br />

<br />

2 2<br />

2 j<br />

<br />

III. Harmonic excitation<br />

In the application of transformer, input voltage is<br />

considered as a harmonic excitation, therefore (i.e.,<br />

t<br />

<br />

jwt<br />

vin<br />

vine<br />

, where v in<br />

, are the amplitudes of input<br />

voltage, ω is driving frequency, and j is the unit imaginary<br />

number). Steady state voltage outputs and beam responses<br />

are obtained. Since the system is linear, the mode shape of<br />

beam and voltage output should be also in the form of<br />

harmonic (i.e., where v out<br />

, and r<br />

are the amplitudes of output<br />

voltage, and modal coordinate of clamped-clamped beam).<br />

Then the modal equation of motion given by [15] can be<br />

reduced to<br />

jwt<br />

v v e<br />

t<br />

<br />

<br />

r in out<br />

r<br />

(33)<br />

2 2<br />

r<br />

2 jrr<br />

=========================================================<br />

The transforming ration around ω 1 is<br />

v<br />

v<br />

out<br />

in<br />

<br />

<br />

r1<br />

c 1 1<br />

2 2<br />

2<br />

2 2<br />

<br />

( 1<br />

2 ) 2 <br />

(<br />

) 2<br />

1<br />

1<br />

c<br />

1<br />

<br />

The phase angle between input and output voltage is simply<br />

2 2<br />

<br />

1<br />

21 1<br />

<br />

c(<br />

11<br />

1<br />

<br />

)<br />

sgn( 11)<br />

tan (<br />

)<br />

2 2<br />

<br />

(1 2 )<br />

2<br />

1<br />

1 c 1<br />

1<br />

r<br />

(35)<br />

If the transformer is excited around the natural<br />

frequency of the rth mode, the main contributions in the<br />

summation signs appearing in Eq. (34) and (35) are from the<br />

rth mode. In most cases, the mode of interest is the<br />

fundamental vibration mode of the transformer r=1.<br />

Therefore, it is a useful practice to consider the beam to be<br />

excited aroundω 1 . The reduced expression for the voltage<br />

across the load can be written as<br />

j<br />

c11<br />

vout<br />

<br />

v<br />

2 2<br />

in<br />

<br />

1<br />

2 jrr 1<br />

j<br />

c<br />

<br />

j<br />

c11<br />

(36)<br />

where sgn() is the signum function. Output power P can be expressed as v 2 out<br />

Rl<br />

given by<br />

2<br />

2<br />

vout<br />

( c11v<br />

in<br />

) Rl<br />

P <br />

(39)<br />

2 2<br />

2<br />

R<br />

2 2<br />

2<br />

l 1<br />

<br />

(1 21<br />

c1)<br />

21 1<br />

<br />

c(<br />

11<br />

1<br />

<br />

)<br />

IV. Parametric case study<br />

However, Erturk et al. [11] suggested that one can always use<br />

modal damping ratio ( <br />

4.1 Effect of the electrode length on output voltage<br />

r<br />

) obtained experimentally directly.<br />

1 0.01is used in this study.<br />

In this section, we analyze the transformer by proposed<br />

Table 1 Material, Geometric, and electromechanical parameters of the model<br />

analytical model. The geometric, material, and<br />

===========================================<br />

electromechancial parameters of the transformer are used in Length of the beam, L (mm) 100<br />

Table 1. The input of the transformer is due to the harmonic Width of the beam, b (mm) 10<br />

Thickness of the PZT, h<br />

p<br />

(mm) 0.25<br />

excitation (100V) at first resonance frequency. Steady state Thickness of the substrate, h<br />

s<br />

(mm) 0.5<br />

response of system is interested in. Before presenting the Mass density of the PZT, ρ<br />

p<br />

(kg/m 3 ) 7800<br />

Mass density of the substrate, ρ<br />

s<br />

(kg/m<br />

resulting voltage output and discussing the respective trend,<br />

3 ) 2300<br />

Young’s modulus of the PZT, Y<br />

p<br />

(GPa) 7<br />

mechanical damping coefficient have to be evaluated. With Young’s modulus of the substrate, Y<br />

s<br />

(GPa) 74<br />

the form of the differential equation given by Eq. (1), two Piezoelectric constant, d<br />

31<br />

(pm/V) -210<br />

s<br />

Permittivity, ε 33<br />

(nF/m) 15.3<br />

separate damping terms for the internal damping coefficient ===========================================<br />

(C s I) and the external viscous damping coefficient (Ca) are Figure 2 shows that voltage output for different lengths of<br />

assumed. C s I is assumed to be stiffness proportional, and C a output electrode pair and the attention is given to the first<br />

is assumed to be mass proportional. C s I/YI is equal to 1.243× resonance mode. The output electrode pair covers the region<br />

10 -5 s/rad and Ca/m is equal to 4.886 rad/s in Ref. [11].<br />

1<br />

c<br />

1<br />

1<br />

1<br />

r<br />

r<br />

c<br />

(37)<br />

(38)<br />

78


etween x 2 to L (remember that x 2 is measured from the left<br />

side of clamed end of the beam to electrode pair of sensor<br />

part, and L is the length of beam).From figure 2, the electrode<br />

pair can be used to covers from 0.77L to L and best output<br />

voltage can be obtained from the first vibration mode. To<br />

explain this, normalized mode shape for first vibration mode<br />

is shown in Figure 3. Strain for piezoelectric film is<br />

proportional to the curvature of beam. The strain distribution<br />

between 0.77L to L and 0.22L to 0.77L will cancel each other.<br />

Best output voltage will be obtained when the length of<br />

output electrode pair is 0.22L.<br />

Voltage (mv)<br />

5<br />

4.5<br />

4<br />

3.5<br />

3<br />

2.5<br />

2<br />

1.5<br />

1<br />

0.5<br />

0<br />

0 0.05 0.1 0.15 0.2 0.25 0.3 0.35<br />

Nondimensional electrode length, x/L<br />

Fig. 2 Effect of nondimensional electrode length on output voltage<br />

Mass normalized mode<br />

shape<br />

2.00<br />

1.00<br />

-<br />

-1.00<br />

-2.00<br />

output voltage<br />

0 0.2 0.4 0.6 0.8 1<br />

Fig.3 The mode shape and curvature of cantilever<br />

4.2 Effect of cantilever length on output voltage and<br />

frequency<br />

deflection<br />

curvature<br />

Nondimensional beam coordinate, x/L<br />

Figure 4 shows that voltage output and resonance<br />

frequency for different lengths of cantilever lengths and the<br />

transformer is also excited at first vibration mode. In this<br />

study, material, geometric, and electromechanical parameters<br />

of transformers are shown in Table 1 but cantilever lengths<br />

vary from 5cm to 100cm. From figure 4, output is<br />

proportional to the square of cantilever length and 1 st<br />

resonance frequency is inversely proportional to the square of<br />

cantilever length. Longer beam exceeds larger output but<br />

lower excitation frequency.<br />

4.3 Effect of the thickness on output voltage<br />

Figure 5 shows that output for different thickness ratios<br />

between PZT and substrate when the transformer is excited at<br />

first resonance. In this study, material, geometric, and<br />

electromechanical parameters of transformers are also shown<br />

in Table 1 but thicknesses of substrate vary from 0.125mm to<br />

1mm. The best output can be obtained when the thickness<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

ratio between substrate and PZT is close to 2. Thickness ratio<br />

between substrate and PZT will change the position of<br />

neutral axis of beam. Figure 6(a) and 6(b) shows that neutral<br />

axis lies within substrate and within PZT, respectively. To see<br />

the stress distribution, tensile and compress stresses will<br />

cancel each other when neutral axis lies with PZT layer. We<br />

might think the best output will be obtained when the neutral<br />

axis is located in the contact plane between substrate and<br />

PZT. However, the maximum output voltage occurs when<br />

neutral axis lies within substrate (Fig. 6(a)).<br />

In this study, the thickness of PZT is 0.25 mm and<br />

thickness of substrate varies. When the thickness of substrate<br />

become larger, the distance from neutral axis to top of the<br />

beam will increase but bending stiffness will also increase.<br />

Larger bending stiffness will cause smaller deflection and of<br />

beam when actuator part is excited at the same input voltage.<br />

In the other word, maximum normal stress of beam will<br />

decreases when bending stiffness increases. The output<br />

voltage will be proportional to the summation of normal<br />

stress of beam. The maximum output voltage will occurs<br />

when neutral axis lies within substrate but not in the contact<br />

plane between substrate and PZT. Figure 7 shows that output<br />

voltages for different thickness ratio between PZT and<br />

substrate when choosing different substrate. Young’s<br />

modulus ratio between PZT and substrate varies from 1 to 2.8.<br />

Different Young’s modulus ratio leads to different thickness<br />

ratio to achieve best output of transformer. When the Young’s<br />

modulus ratios are 1 and 2.8, the best output are 0.078V and<br />

0.090V, respectively. The results are summarized in table 2.<br />

frequency (Hz)<br />

500<br />

450<br />

400<br />

350<br />

300<br />

250<br />

200<br />

150<br />

100<br />

50<br />

0<br />

5 20 35 50 65 80 95<br />

cantilever length (cm)<br />

Fig. 4 voltage output and nature frequency with different cantilever lengths<br />

Voltage (mV)<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

free vibration frequency<br />

out put voltage<br />

0.5 1 1.5 2 2.5 3 3.5 4<br />

thinkness ratio, substrate/PZT<br />

Fig. 5 output voltage vs. thickness ratio between substrate and PZT layers<br />

5<br />

4.5<br />

4<br />

3.5<br />

3<br />

2.5<br />

2<br />

1.5<br />

1<br />

0.5<br />

0<br />

voltage (V)<br />

output voltage<br />

79


Fig. 6<br />

Voltage (mv)<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

PZT<br />

Subst<br />

rate<br />

Stress<br />

Neutral axis<br />

PZT<br />

subst<br />

rate<br />

(a)<br />

(b)<br />

Neutral axis lies (a) within substrate (b) within PZT<br />

0.5 1 1.5 2 2.5 3 3.5 4 4.5<br />

thinkess ratio, substrate/PZT layers<br />

Fig. 7 output voltage vs. thickness ratio when different Young’s module ratio<br />

Table 2 best output, thickness ratio and bending stiffness in different substrate<br />

Young’s modulus ratio 0.5 1.0 1.6 2.8<br />

Best thickness ratio 3.61 2.11 1.40 0.98<br />

Bending stiffness 0.063 0.026 0.017 0.012<br />

Best Output (V) 0.071 0.078 0.084 0.090<br />

V. Conclusion<br />

Young's modulus ratio 2.8<br />

Young's modulus ratio 1.6<br />

Young's modulus ratio 1<br />

In this paper, an analytical solution of a fixed-fixed beam<br />

type piezoelectric transformer with Euler-Bernoulli beam<br />

assumption is proposed. Mechanical response, voltage,<br />

current, and power outputs of piezoelectrical transformers are<br />

presented. The model is then used for parameter study. From<br />

analytical model, output voltage depends on the lengthes of<br />

two electrodes, the length of beam, and the Young’s modulus<br />

ratio and thickness ratio between PZT layer and substrate.<br />

The lengthes of input electrodes and output electrodes should<br />

be 0.22L to achieve the largest output when the transformer<br />

is excited at first resonance frequency. The output voltages<br />

and the resonance frequnecies of transformers are<br />

proportional and inversely proportional to the lengthes of<br />

beams, respectively. The combination of Young’s modului<br />

and thicknesses of PZT layer and substrate change the<br />

position of netual axis and the bending stiffness of beam,<br />

concurrently. However, output voltages of transfomers<br />

depend not only on the postion of neutral axes but also on<br />

bending stiffnesses.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

References<br />

[1] C. A. Rosen, “Ceramic transformers and Filters,”<br />

Proceeding of electronic Components Symposium,<br />

Washington, D. C., May 1-3 (1956) 205-211<br />

Stress<br />

[2] Y.-H. Hsu, C.-K. Lee, W.-H. Hsiao, “Optimizing<br />

piezoelectric transformer for maximum power transfer,”<br />

Smart Material and Structure, 12(2003) 373-83<br />

[3] R.-L. Lin, “Piezoelectric transformer characterization<br />

and application of electronic ballast,” PhD Dissertation,<br />

Virginia Polytechnic Institute and state University, USA,<br />

2001<br />

[4] E. M. Baker, W. Huang, D. Y. Chen, F. C. Lee, “Radial<br />

mode piezoelectric transformer design for fluorescent lamp<br />

ballast application,” 33 rd Annual IEEE Power Electronics<br />

Specialists, Cairns, Queensland, Australia, June 23-27 (2002)<br />

1289-94<br />

[5] J.-M. Seo, H.-W. Joo, H.-K. Jung, “Optimal design of<br />

piezoelectric transformer for high efficiency and high power<br />

density,” Sensors and Actuators A, 121 (2005) 520-526<br />

[6] M. C. Do, H. Guldner, “High output voltage DC/DC<br />

converter based on parallel connection of piezoelectric<br />

transformers,” International Symposium on Power<br />

electronics, Electrical Drives, Automation and Motion,<br />

Taormina, Italy, May 23-26, (2006) S18<br />

[7] T. Inoue, S. Hamamura, M. Yamamoto, A. Ochi, Y.<br />

Sasaki, “AC-DC converter based on parallel drive of two<br />

piezoelectric transformer,” Japanese Journal of Applied<br />

Physics, 47 (2008) 4011-4014<br />

[8] S. Roundy, P. K. Wright, J. M. Rabaey, “A Study of<br />

Low Level Vibrations as a Power Source for Wireless Sensor<br />

Nodes,” Computer Communications, 26 (2003) 1131-1144<br />

[9] N. E. duToit, B. L. Wardle, S.-G. Kim, “Design<br />

considerations for MEMS-scale piezoelectric mechanical<br />

vibration energy harvesters,” Integrated Ferroelectrics, 71<br />

(2005) 121-160<br />

[10] S. T. Ho, “Electromechanical Model of a Longitudinal<br />

Mode piezoelectric Transformer,” The Seventh International<br />

Conference on Power Electronics and Drive Systems,<br />

Bangkok, Thailand, November 27-30, (2007) 267-272<br />

[11] A. Erturk, D. J. Inman, “A distributed parameter<br />

electromechanical model for cantilevered piezoelectric<br />

energy harvesters,” Journal of Vibration and Acoustics, 130<br />

(2008) 041002<br />

[12] A. Erturk, and D.J. Inman, “On mechanical modeling<br />

of cantilevered piezoelectric vibration energy harvesters,”<br />

Journal of Intelligent Material Systems and Structures, 19<br />

(2008) 1311-1325<br />

[13] T. K. Caughey, and M. E. J. O’Kelly, “Classical normal<br />

modes in damped linear dynamic systems,” Journal of<br />

Applied Mechanics, 32 (1965) 583–588.<br />

80


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Study of Black Silicon Obtained by Deep Reactive<br />

Ion Etching – Approach to Achieving the Hot Spot of<br />

a Thermoelectric Energy Harvester<br />

K.N Nguyen 1 , D.Abi-Saab 1 , M. Malak 1 , P. Basset 1 , E. Richalot 2 , N. Pavy 1 , F. Flourens 1 , F. Marty 1 , D. Angelescu 1 ,<br />

Y. Leprince-Wang 3 , T.Bourouina 1<br />

1 Université Paris-Est, ESYCOM, ESIEE Paris, 2 Bd. Blaise Pascal, 93162, Noisy-le-Grand, France<br />

2 Université Paris-Est, ESYCOM, UPEMLV, 2 Bd. Blaise Pascal, 93162, Noisy-le-Grand, France<br />

3 Université Paris-Est, LPMDI, 2 Bd. Descartes, F-77454, Marne-la-Vallée Cedex 2, France<br />

Abstract- In this paper we study the enhanced absorption<br />

properties of micro/nano structured silicon surface under<br />

incident electromagnetic (EM) illumination and then its<br />

capacity to convert light to heat. We then simulate the optical<br />

reflectance of the 3D micro/nano silicon cones of different<br />

dimensions. Equipped with the favorable simulation results we<br />

fabricate black silicon with excellent anti-reflectivity by using<br />

deep reactive ion etching (DRIE) under cryogenic<br />

temperatures. Reflectance measurement with an integrating<br />

sphere is approximately 1% in the optical wavelength range.<br />

Following this, black silicon with integrated resistance<br />

temperature detector (RTD) is developed to investigate its<br />

efficiency of the photo-thermal conversion.<br />

I. INTRODUCTION<br />

Research in the area of electromagnetic energy harvesting<br />

has been done over the past decade. In the optical<br />

wavelength range, one can use photovoltaic conversion as<br />

well as photo-thermal conversion, both of whose<br />

efficiencies depend on material properties. Either<br />

mechanism is possible on silicon, with various efficiencies<br />

depending on level of doping and wavelength of incident<br />

light. Also, microstructuring the surface of silicon can lead<br />

to noticeable enhancement of conversion efficiency.<br />

In this paper we study the photo-thermal conversion<br />

behavior of black silicon obtained by cryogenic DRIE, with<br />

the prospect of producing a hot spot intended to integrate a<br />

thermoelectric energy harvester consisting of a vertical<br />

superlattice [1]. In order to fuel such thermoelectric<br />

elements by solar radiation, the optimization of the hot spot<br />

is crucial. To this end, we propose in this paper to develop a<br />

light-absorbing layer with extremely low reflectivity so as<br />

to maximize heating of the hot spot under the effect of<br />

electromagnetic (EM) radiation in the visible and nearinfrared<br />

ranges. The hot spot is made of black silicon, a<br />

material consisting of dense (sub)-micrometer cones which<br />

lead to multiple reflections of incident photons and hence to<br />

light trapping and absorption. An air cavity etched on the<br />

back side thermally insulates the hot spot, which is heated<br />

by incident light focused by a microlens (Fig. 1).<br />

Fig. 1. Sketch of the target material.<br />

The natural reflectance of a flat silicon/air interface is<br />

around 30% because of the high refractive index of silicon.<br />

Surface texturing is an effective technique to reduce the<br />

reflectance of the Si surface. Several techniques have been<br />

previously studied for forming different profiles such as wet<br />

etching [2], femtosecond laser pulse [3], reactive ion<br />

etching [4] and deep reactive ion etching (DRIE) [5,6].<br />

Maskless texturing of a polished silicon wafer can result in<br />

the formation of “grass”-like structures that appears black to<br />

the human eye, hence the name “black silicon” [4,5]. DRIE<br />

texturing is a well-known technique to obtain black silicon<br />

surfaces of low reflectance, in a controlled and repeatable<br />

manner. The DRIE is based on inductively-coupled plasma<br />

(ICP) of sulphur hexafluoride (SF 6 ) and allows anisotropic<br />

etching of silicon by taking advantage of a passivation<br />

mechanism in the side walls. While the Bosch technique is<br />

the most widely used process in DRIE, we have in this work<br />

used the cryogenic process to fabricate black silicon.<br />

II. FEM SIMULATIONS AND RESULTS<br />

The influence of process parameters on the black silicon<br />

structure has been actively studied [5] but no in depth study<br />

concerning the impact of its 3D geometry on the reflectivity<br />

of the incident EM radiation has been done. The simulations<br />

of the reflectance of a model black silicon surface hence are<br />

performed with Ansoft’s HFSS (High Frequency Structural<br />

Simulator) based on the Finite Element Method. Although<br />

81


Fig. 2. a) Diagram of the structure simulated by HFSS TM b) 3D sketch of<br />

the simulated surface.<br />

black silicon can be built in various shapes such as spikes,<br />

“penguin-like” structures, columns and pyramids, the<br />

simulations are performed with cones since it is one of the<br />

shapes that provides better absorption [7]. In this paper, we<br />

focus on textured surfaces formed by cones of dimensions<br />

(height and width) varying between 150 nm and 5 µm under<br />

different directions of the incident field.<br />

A. Description of the 3D surface<br />

The simulated structure consists of a silicon substrate on<br />

which identical cones are periodically repeated along the x-<br />

and y- axis. The structure is defined by its out-of-plane<br />

height (h), base diameter (d), and in-plane periodicity (p), as<br />

represented in Fig. 2. According to Floquet’s theorem [8],<br />

the structure periodicity induces the field pseudo-periodicity<br />

and allows us to reduce the computation time by restricting<br />

it to a single lattice unit with biperiodic boundary conditions<br />

[9]. The surface is excited by an incident monochromatic<br />

plane wave with a wavelength tuned from 430 nm to 1000<br />

nm. The reflectance is obtained by calculating the ratio<br />

between the reflected and incident energies passing through<br />

a surface S. The surface S has the same dimensions as the<br />

elementary cell and is placed above the simulated cone and<br />

parallel to the periodicity plane.<br />

B. Results<br />

1) Influence of the height of cones<br />

To evaluate the influence of cone height on the<br />

reflectivity of black silicon, we have simulated a structure<br />

with identical cones with varying height. The periodicity<br />

and the width of cones are of 1.5 µm while the height is<br />

varied from 3.5 µm to 5 µm. This structure is illuminated in<br />

a direction normal to the substrate. The results shown in<br />

Fig. 3 clearly indicate that the reflectivity in the visible light<br />

spectrum decreases uniformly while increasing cone height<br />

because of multireflection of the incident light on the silicon<br />

3D surface. We can notice that while the dependence of<br />

reflectivity on height is modest in the infrared limit (1000<br />

nm), it is significant when observed at lower wavelengths<br />

(430-600 nm).<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

shown in Fig. 4. It appears that at constant periodicity the<br />

reflectivity decreases with increasing cone diameter while<br />

the diameter is lower than the periodicity. The curve slope<br />

decreases from the point where the bases of the structure are<br />

in contact. The very large reflectivity reduction before this<br />

point can be understood by the large reduction of the planar<br />

surface between the cones. Then the cone bases start to<br />

overlap. Before the planar surface disappears completely,<br />

the reflectivity starts to increase slightly, which can then be<br />

explained by the reduction of the angle between the incident<br />

field and the normal of the cone lateral surface, and by the<br />

induced reduction of the cone aspect ratio. We observe that<br />

the lowest reflectivity is obtained for a cone diameter<br />

approximately 30% larger than the structure periodicity.<br />

Decreasing the cone periodicity is also recommended for<br />

lower reflectivity.<br />

Fig. 3. Cone height influence on simulated reflectivity.<br />

Fig. 4. Cone width influence on simulated reflectivity.<br />

2) Influence of the diameter of cones<br />

The impact of the cone diameter on the reflectivity is<br />

studied by simulating micrometer size cones of constant<br />

periodicity (p = 1.5 µm) and height (h = 3.5 µm), whose<br />

diameter is varied from 1 to 2.08 µm. A normal incidence is<br />

considered. The simulated reflectivity of these structures is<br />

Fig. 5. Example of simulated reflectivity with respect to the electric field<br />

incident angle.<br />

82


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

3) Influence of the incident electric field angle<br />

Since promising results on the physical parameters of<br />

silicon conical structures for the lowest reflectivity are<br />

found as above, textured surfaces consisting of micrometer<br />

and sub-micrometer cones with high steepness and high<br />

density are simulated to study the variation of the<br />

reflectivity with respect to the incident field direction. θ i is<br />

the incident field angle from the normal incidence.<br />

Simulations are performed with the variation of θ i from 0°<br />

to 85° on a textured silicon surface (Fig. 5). The periodicity,<br />

diameter and height of sub-micrometer cones are 150 nm,<br />

190 nm and 910 nm respectively. As shown in Fig. 5, high<br />

density cones exhibit a low reflectivity in the visible range<br />

for incidence angles up to 50° from the normal of the<br />

surface. A similar effect is observed for the micrometer size<br />

structures whose dimensions are presented in Fig. 4.<br />

Fig. 6. SEM image of Black Si obtained by a cryogenic DRIE process.<br />

III.<br />

EXPERIMENTS AND RESULTS<br />

A. Fabrication<br />

Based on the simulation results presented above, we<br />

performed an experimental study of black silicon<br />

fabrication and characterization. The black silicon was<br />

obtained using O 2 -SF 6 cryogenic deep reactive ion etching<br />

on 4-inch polished (100) silicon wafers. By varying the<br />

process parameters such as bias voltage, temperature, gas<br />

pressure, and RF power, we can obtain various structure<br />

geometries [10]. The wafers were subjected to DRIE at<br />

cryogenic temperatures without any mask. SF 6 gas<br />

generates F * radicals for chemical etching of silicon leading<br />

to volatile SiF 4 whereas O 2 gases produce O * radicals for<br />

silicon sidewall passivation with Si x O y F z . Such wafers were<br />

treated under different plasma conditions in order to obtain<br />

different textures of black silicon. Guided by the simulation<br />

results, we attempt to obtain the best compromise between<br />

density, periodicity and aspect ratio of the silicon cones.<br />

Black silicon with high-density of (sub)-micrometer cones<br />

(Fig. 6) was achieved. In order to investigate the photothermal<br />

conversion of the black silicon, we have designed<br />

and fabricated a thin film platinum resistance temperature<br />

detector (Pt RTD) at micrometer scale to measure its<br />

temperature change under exposure to various intensities of<br />

light (Fig. 7). Platinum was used because of its stability,<br />

precision and its linear relationship between resistance and<br />

temperature. A four probe resistance measurement was<br />

performed to eliminate contact resistances and increase the<br />

accuracy of the measurement.<br />

B. Characterization<br />

The surface morphologies of black silicon were<br />

investigated by scanning electron microscopy (SEM). The<br />

cones have a width of about 350 nm and a height of about<br />

1.4 µm, which were directly extracted from side-view SEM<br />

image (Fig. 6). The average periodicity of the structure is<br />

570 nm, calculated from the azimuthally averaged intensity<br />

of the Fourier Transform (FT) of a top-view image (see<br />

Fig.8).<br />

Fig. 7. SEM image of Pt RTD surrounded by Black Si.<br />

Fig. 8. Top-view SEM image of Black Si and azimuthal average of the<br />

Fourier Transform of the top view image.<br />

The reflectance of black silicon has been measured for<br />

wavelengths between 400 nm and 1000 nm by a<br />

spectrometer (Maya 2000 Pro from Ocean Optic) with an<br />

integrating sphere coupled to a halogen light source. NIST<br />

reflectivity standards were used for calibration. Fig. 9 plots<br />

the measured reflectance from planar and DRIE-textured<br />

surface under normal incidence. Our black silicon is found<br />

to exhibit a reflectance of ~1% in the visible range without<br />

anti-reflection films.<br />

83


11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

Guided by the favorable simulation results, conical black<br />

silicon wafer was fabricated by DRIE under cryogenic<br />

temperatures with diameter of 350 nm, height of 1.4 µm and<br />

periodicity of 570 nm. The cones are fabricated in a<br />

collective manner over the whole wafer area. This structure<br />

presents excellent antireflective behavior over the 400 – 950<br />

nm spectral range with a reflectance ~ 1% in the visible<br />

range. This reflectance level is among the best published in<br />

the literature for plasma-etched black silicon. We have<br />

successfully measured the variation in the photo-thermal<br />

effects of black silicon with varying incident light<br />

intensities albeit notably without thermal insulation<br />

substrates. Current work in progress consists of improving<br />

the prototype for the light-thermal conversion and finally<br />

Fig. 9. Measured reflectance spectra of black silicon under normal<br />

incidence.<br />

thermoelectric conversion.<br />

Relative resistance variation (ppm)<br />

8000<br />

6000<br />

4000<br />

2000<br />

0<br />

0 0,5 1 1,5 2<br />

Incident light intensity (mW/mm²)<br />

Fig. 10. Resistance variation of Pt RTD under different incident light<br />

intensities.<br />

The device was tested by irradiating it with different<br />

intensities of visible light coming from a halogen light<br />

source. The resistance variation (Fig. 10) ΔR/R is nearly<br />

7000 ppm for an incident light intensity of 1.6 mW/mm 2<br />

equivalent to a temperature increase of nearly 2°C and to a<br />

photo-thermal conversion of 1250°C/(W/mm 2 ) for this<br />

device. It is worth mentioning that this first trial was<br />

performed on a device suspended on a membrane but with<br />

no additional thermal insulation from the thermally<br />

conductive substrate.<br />

IV. CONCLUSION<br />

We have simulated the optical reflectance of the 3D black<br />

silicon structures consisting of cones of sub-micro and<br />

micrometer dimensions with different heights and diameters<br />

for the optical wavelengths. We observed that a black<br />

silicon structure with the sharpest and high density cones is<br />

expected to obtain the lowest reflectivity. It is obtained<br />

when the cones diameter are about 30% larger than the<br />

periodicity. Besides, the influence of the direction of the<br />

incident field on the reflection of black silicon cannot be<br />

neglected. It is shown that angle of the incidence from the<br />

normal surface has almost no influence up to 40° on a low<br />

reflective surface.<br />

ACKNOWLEDGMENT<br />

The authors would like to thank to EADS Foundation by<br />

whom this work is funded through the project TESEER.<br />

REFERENCES<br />

[1] J. Parasuraman, M. Bardoux, D. Angelescu, P. Basset, T.<br />

Bourouina and P. Chantrenne, "Development of vertical<br />

superlattices in silicon for on-chip thermal management",<br />

Proceeding of the 16th International workshop on Thermal<br />

investigations of ICs and Systems, Barcelona (THERMINIC'10),<br />

Barcelona, Spain, 2010.<br />

[2] Howard M. Branz, Vernon E. Yost, Scott Ward, Kim M. Jones,<br />

Bobby To and Paul Stradinset, “Nanostructured black silicon and<br />

the optical reflectance of graded-density surfaces”, Applied<br />

Physics Letters, vol. 94, N° 23, 2009.<br />

[3] M. Y. Shen, C. H. Crouch, J. E. Carey and E. Mazur,<br />

“ Femtosecond laser-induced formation of submicrometer spikes<br />

on silicon in water”, Applied Physics Letters, vol. 85, N°. 23, 6<br />

December 2004.<br />

[4] B.M. Damiani, R. Lüdemann, D.S. Ruby, S.H. Zaidi, A. Rohatgi,<br />

“Development of RIE-textured silicon solar cells”, Photovoltaic<br />

Specialists Conference, 2000. Conference Record of the Twenty-<br />

Eighth IEEE, 15-22 Sept. 2000, pp.371–374.<br />

[5] Henri Jansen, Meint de Boer, Henk Wensink, Ben Kloeck, Miko<br />

Elwenspoek, “The black silicon method. VIII. A study of the<br />

performance of etching silicon using SF6/O2-based chemistry with<br />

cryogenical wafer cooling and a high density ICP source,”<br />

Microelectronics Journal, vol. 32, pp. 769-777, 2001.<br />

[6] F. Marty , L. Rousseau, B. Saadany, B. Mercier, O. Francais, Y.<br />

Mita, T. Bourouina “Advanced etching of silicon based on deep<br />

reactive ion etching for silicon high aspect ratio microstructures<br />

and three-dimensional micro- and nanostructures”,<br />

Microelectronics Journal, Vol. 36,issue 7, Jul. 2005 pp. 673-677.<br />

[7] M.Halbwax et al, “Micro and nano-structuration of silicon by<br />

femtosecond laser: Application to silicon photovoltaic cells<br />

fabrication”, Thin solid films, Vol. 516, issue 20, pp. 6791-6795<br />

(2008).<br />

[8] R. Petit,Ed., “Electromagnetic Theory of Gratings”, Berlin,<br />

Germany : Springer-Verlag,1990.<br />

[9] E. Richalot, M. Bonilla, M.-F. Wong, V. Fouad-Hanna, H.<br />

Baudrand, J. Wiart, “Electromagnetic Propagation into Reinforced<br />

-Concrete Walls”, IEEE Trans. Microwave Theory Tech., Vol. 48,<br />

No. 3, March 2000, pp.357-366.<br />

[10] R. Dussart et al, “Silicon columnar microstructures induced by an<br />

SF6/O2 plasma,” Journal of Physics D: Applied Physics, 38, 3395,<br />

2005.<br />

84


11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

Performance Evaluation of MEMS Piezoelectric<br />

Inertial Energy Generator<br />

Aliza Aini Md Ralib 1 , Anis Nurashikin Nordin 1 , Raihan Othman 2 , Hanim Salleh 3<br />

1 Department of Electrical and Computer Engineering, International Islamic University Malaysia<br />

2<br />

Department of Science in Engineering, International Islamic University Malaysia<br />

3 Department of Mechanical Engineering, Universiti Tenaga Nasional Malaysia<br />

Abstract- Vibration based inertial energy generators have<br />

become significantly popular due to the growing demand of<br />

wireless sensor networks which need miniature, portable,<br />

long lasting and easily recharged sources of power. Usage of<br />

hazardous batteries is an unacceptable solution to power up<br />

the densely populated nodes due to their bulky sizes and high<br />

battery replacement cost. As such, the viability of ‘green’<br />

microelectromechanical (MEMS) vibration based inertial<br />

energy generator has become even more dominant. This<br />

paper reports the design and simulation of a cantilever<br />

piezoelectric inertial energy generator based on bulk silicon<br />

micromachining for wireless condition monitoring in power<br />

plants. Power plants generate ambient vibrations in the low<br />

kHz range which can be harvested to power the wireless<br />

condition monitoring circuits. Output power of the system<br />

will be enhanced when it is operated at the ambient resonance<br />

frequency. This paper discusses the effect of various lengths,<br />

shapes and volume of the cantilever beam, to its natural<br />

resonant frequency. The effect of different piezoelectric<br />

material with the maximum output power produced is also<br />

highlighted. The design and finite element modeling was<br />

conducted using MEM PZE module in Coventorware TM .<br />

I. INTRODUCTION<br />

Conventional battery power sources have large<br />

maintenance and short lifetimes, making it unsuitable for<br />

applications in low power wireless sensor nodes. Energy<br />

harvesting devices capable of converting wasted ambient<br />

energy to useful electrical power could be one of the<br />

favorable solutions. Ambient mechanical vibration is the<br />

most versatile renewable energy source because it has<br />

infinite lifetimes and eliminates the disposal issues of<br />

waste batteries.<br />

Inertial energy generators harvest the energy from<br />

motion by damping the internal motion of a proof mass<br />

suspended within the device when the device is vibrating.<br />

The electro mechanical conversion is done by a transducer<br />

typically electromagnetic, electrostatic or piezoelectric.<br />

Among these methods, piezoelectric transducers have the<br />

simplest configuration and highest efficiencies [1]. This<br />

paper emphasizes on the design and simulation of a<br />

MEMS piezoelectric inertial generator based on bulk<br />

silicon micromachining to power up wireless condition<br />

monitoring circuits at power plants. Low ambient resonant<br />

frequencies are needed to acquire optimize harvested<br />

output power. This paper is organized as follows. Section II<br />

explains the proposed device structure. Section III presents<br />

the simulation analysis, results and discussion. The<br />

simulation results are divided into three main sections that<br />

discuss the effect of various lengths, shapes and volume of<br />

the cantilever beam to its natural resonant frequency, the<br />

effect of resonant frequency to the output power and the<br />

effect of the different piezoelectric thin film material to the<br />

maximum output power produced respectively. Finally, the<br />

conclusion is given in Section IV.<br />

II. DEVICE STRUCTURE<br />

Fig. 1 shows the MEMS piezoelectric cantilever inertial<br />

generator device operating in transversal mode (d 31 mode) for<br />

low frequency vibration. The miniature device consists of a<br />

single cantilever structure that comprised of silicon substrate,<br />

a piezoelectric layer and two layers of top and bottom<br />

electrodes. A silicon proof mass is fabricated at the free end<br />

to adjust the resonant frequency. The piezoelectric energy<br />

conversion can be described using the equivalent linear<br />

spring mass system as shown in Fig. 2 where z=x-y is the net<br />

displacement, K eq is the equivalent spring constant , C is the<br />

damping coefficient and M eq is the equivalent lumped mass<br />

[2].<br />

Fig. 1. 3D view of MEMS piezoelectric inertial generator operated in<br />

d31 mode<br />

Fig. 2. Schematic representation of the cantilever beam fixed at one end<br />

and the equivalent first order model of a resonant system<br />

85


11-13 May 2011, Aix-en-Provence, France<br />

ω =<br />

K<br />

n<br />

eq<br />

K<br />

M<br />

3EI<br />

=<br />

L<br />

eq<br />

eq<br />

3<br />

beam<br />

(1)<br />

(2)<br />

Fig. 3. Side and Top view of MEMS Piezoelectric Inertial Generator<br />

TABLE I<br />

DEVICE DIMENSIONS<br />

Material Length<br />

(m)<br />

Width<br />

left (m)<br />

Width<br />

right (m)<br />

Thickness<br />

(m)<br />

Silicon 5039 672 2076 500<br />

Substrate<br />

Aluminium 5039 672 2076 5<br />

Zinc Oxide 5039 672 2076 10<br />

Aluminium 5039 672 2076 5<br />

Silicon Proof<br />

Mass<br />

1039 2076 2076 300<br />

The device dimensions, side and top view of the MEMS<br />

piezoelectric inertial generator are shown in Table I and Fig.<br />

3 respectively. When the cantilever is excited due to ambient<br />

vibration, it will induce the mechanical stress in the<br />

piezoelectric layer. The stress induced strain in the zinc oxide<br />

piezoelectric crystal and consequently generates surface<br />

charges due to unbalanced ions. The charges generated are<br />

proportional to output voltage produced and is extracted<br />

through metallization of top and bottom layer of aluminium<br />

electrodes that located in between of the piezoelectric layer.<br />

Equations (1) to (4) show the relation between the volume<br />

increments of the cantilever beam to its resonant frequency.<br />

If we assume that the micro generator operates at resonance<br />

frequency, the resonant frequency of spring mass system, n<br />

is shown in (1) where M eq is the equivalent mass and K eq is<br />

the equivalent stiffness. The equivalent stiffness for the<br />

cantilever beam can be calculated as shown in (2) where E is<br />

Young Modulus (GPa), I is the moment of inertia, and L beam<br />

is the length of the beam, W beam , and H beam are width and<br />

height of the beam respectively. The equivalent mass is<br />

directly related to the length, width and height of the<br />

cantilever beam. The higher the volume of the cantilever<br />

beam, the lower the resonant frequency applied at the<br />

proposed design as shown in (1). At the resonance<br />

frequency, the cantilever vibrates at maximum deflection and<br />

consequently maximum potential difference will be produced<br />

which directly impact the output power produced [2].<br />

33<br />

Meq = Mm + Mbeam<br />

140<br />

M = ρ * W * H * L<br />

beam beam beam beam<br />

III.<br />

SIMULATION ANALYSIS<br />

The prototype device was modeled using finite<br />

element simulator (FEM), MEM PZE Coventorware that<br />

provides automated design solutions for MEMS devices.<br />

Designer module was used to define the virtual fabrication<br />

steps, draw the layout and generate three dimensional<br />

model of the prototype device [3]. The structure consists<br />

of Silicon / Aluminium / Zinc Oxide / Aluminium / Silicon<br />

proof mass. The detailed of the fabrications steps defined<br />

in the designer module as shown in Table II. Silicon bulk<br />

micromachining is applied in the fabrication steps to<br />

design the cantilever beam. Undoped silicon wafer<br />

with thickness of 500 m was used as the substrate.<br />

Aluminium was chosen as top and bottom electrode with<br />

thickness of 5 m each to measure the output voltage<br />

produced. Zinc oxide was chosen as the piezoelectric layer<br />

because it requires relatively low deposition temperature,<br />

has high piezoelectric coupling coefficient and excellent<br />

bonding to substrate materials such as silicon [4]. The<br />

proposed device utilized back-etched silicon proof mass in<br />

order to simplify the fabrication process of the devices. A<br />

series of piezoelectric cantilever beams with various<br />

volumes functioning in the range of 230 Hz until 1.5 kHz<br />

were simulated. The cantilever beam operates in<br />

transversal mode (d 31 mode) where top and bottom<br />

electrodes were used to measure the output voltage<br />

produced. A comparison of power output produced<br />

between two different piezoelectric thin films materials<br />

(zinc oxide and aluminium nitride) is also highlighted.<br />

There are two simulation conditions applied: closed circuit<br />

conditions and open circuit conditions [5]. To simulate<br />

closed circuit conditions (when load resistance, R L = 0 as<br />

shown in equivalent circuit in Fig. 4), the potentials of the<br />

electrodes are set to 0 V. For open circuit conditions, one<br />

electrode is set to TiePotential, and the other to a potential,<br />

e.g. 0 V [5]. The load resistance is fixed to 50 for a<br />

series of simulation.<br />

(3)<br />

(4)<br />

TABLE II<br />

FABRICATION STEPS OF MEMS PIEZOELECTRIC INERTIAL GENERATOR<br />

Nu. Step Name Layer name Material Name Thickness Mask Name Comment<br />

0 Substrate Substrate Silicon_100 500 m Substrate Mask<br />

1 Stack Material Bottom_electrode Aluminium 5 m<br />

2 Stack Material Piezoelectric Zinc Oxide 10 m<br />

3 Stack Material Top_electrode<br />

K<br />

Aluminium 5 m<br />

4 Straight Cut eq<br />

ω<br />

n<br />

=<br />

Cantilever Back etching Depth:495 m<br />

5 Straight Cut M<br />

(1)<br />

Proof Mass Back etching Depth:200m<br />

eq<br />

86


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Fig. 4. Equivalent Circuit of MEMS Piezoelectric Energy Harvester<br />

III.<br />

SIMULATION RESULT AND DISCUSSION<br />

The simulation results indicate that the displacement at z<br />

direction of fundamental mode 1 provides highest<br />

displacement as shown in Fig. 5. A total of 30 harmonic<br />

frequency steps within range of 220 to 290 Hz were<br />

generated. Fig. 6 to 8 show the graph of displacement, output<br />

voltage and output power versus frequency applied<br />

respectively. The graphs show the expected sharp change and<br />

the peak as the frequency approach the fundamental<br />

resonance Mode 1 value that is 233 Hz.<br />

It is essential for the MEMS piezoelectric inertial generator<br />

to operate at the resonance frequency to harvest optimum<br />

power. Resonant frequency of 233 Hz provides the maximum<br />

displacement of vibration, output voltage and power. Fig. 6<br />

and 8 illustrate maximum displacement of 420µm and<br />

maximum output power of 3.02µW at mode 1 resonance<br />

frequency of 232 Hz. It shows that the device needs to<br />

operate at resonance mode to harvest optimum output power.<br />

Fig. 7. Output voltage produced versus frequency<br />

Fig. 8. Output power produced versus frequency<br />

A. The effect of various volume of the cantilever beam<br />

to its natural frequency<br />

Low resonant frequency is essential in MEMS<br />

piezoelectric inertial generator because most of the ambient<br />

vibrations are at very low frequencies [1]. The power output<br />

will only be optimized if the miniature devices are operated<br />

at the resonance mode. Table III and Fig. 9 illustrate the<br />

volume increment of the cantilever beam decreases the<br />

resonant frequency and increases the peak output power<br />

produced. The larger the volume of the cantilever beam, the<br />

lower the resonant frequency.<br />

Fig. 5. Mem Mech Piezoelectric Analysis<br />

B. The effect of resonant frequency to the peak output<br />

power<br />

Output power of the system will be optimized when it is<br />

operated at the ambient resonance frequency. MEM PZE<br />

piezoelectric analysis was done to compute the output power<br />

produced at the resonance frequency. To obtain resonance<br />

TABLE III<br />

Fig.6. Displacement of vibration versus frequency<br />

SIZES, RESONANT FREQUENCY AND OUTPUT POWER OF PIEZOELECTRIC INERTIAL<br />

GENERATOR<br />

Design<br />

Number<br />

Wbeam Lbeam Resonant<br />

Frequency<br />

Peak Output<br />

Power ( R L=50<br />

ohm)<br />

1 722 2044 1542.00 0.7260<br />

2 442 3018 976.40 0.5765<br />

3 166 3288 620.87 0.8350<br />

4 1010 4299 463.50 1.8216<br />

5 672 5039 232.0 3.0560<br />

87


11-13<br />

<br />

May 2011, Aix-en-Provence, France<br />

<br />

Design Number<br />

Fig. 9. Design number versus applied resonant frequency<br />

mode of the structure, modal analysis was performed. Thirty<br />

modes were simulated for six different volume of<br />

piezoelectric energy harvester. The mode with the highest<br />

displacement was identified as mode of interest [3].<br />

A range of 230 Hz to 1.5 kHz resonant frequency for five<br />

different designs is simulated to get maximum power output<br />

at the ambient resonant frequency. Fig. 10 shows the effect of<br />

resonance frequency to the output power produced. The<br />

lower the resonant frequency, the higher the output power<br />

produced. The highest output power is 3.056 W at 232 Hz<br />

resonant frequency. The power output produced is enough to<br />

power the wireless condition monitoring circuits since power<br />

plants generate ambient vibrations at low frequencies.<br />

C. The effect of chosen piezoelectric material on output<br />

power.<br />

MEMS technology has introduced the concept of<br />

many functional materials with new fabrication process<br />

which allow a creation of miniature devices consuming less<br />

power, reliable and integrate multiple functions. One of the<br />

main functional materials is piezoelectric thin film.<br />

Piezoelectric materials develop charge on the sample surfaces<br />

when exposed to applied stresses or vibration. [6].<br />

The choice of the piezoelectric thin films depends<br />

on the process complexity, piezoelectric coupling coefficient<br />

and CMOS compatibility. The most common materials used<br />

are aluminium nitride (AlN), zinc oxide (ZnO) and lead<br />

zicronate titanate (PZT). PZT provides highest coupling<br />

coefficient. However, PZT thin film deposition is very<br />

complex and hazardous due to lead contamination. AlN and<br />

ZnO are both wurtzite structure materials with the polar<br />

direction [6]. Low deposition temperature is kept during<br />

sputtering process to obtain high quality of piezoelectric AlN<br />

and ZnO films and to allow complete integration capabilities<br />

with CMOS technology [6]. The low deposition temperature<br />

also offers the use of standard Al for metallization layers<br />

(electrodes). The sputter deposition techniques for both AlN<br />

and ZnO is also well-known standard deposition and is less<br />

complex compared to the deposition of PZT. Therefore, the<br />

simulations are shown to discuss the effect of AlN and ZnO<br />

piezoelectric materials only.<br />

Fig. 10. Output power produced versus applied resonant frequency<br />

The main material properties for the analysis are<br />

piezoelectric strain coefficient; dielectric constant and<br />

stiffness matrix. The material properties for ZnO and AlN<br />

piezoelectric material are summarized in Table IV and Table<br />

V [5] [7]. The Dielectric entries shown are relative values to<br />

vacuum permittivity 0 = 8.85 x 10 -12 c/(vm) [5].<br />

The aim of the simulation analysis is to compare the<br />

output power produced at the resonance frequency for two<br />

different piezoelectric material used: AlN and ZnO. Table<br />

VII and Fig. 11 show that zinc oxide provides more energy<br />

output compared to aluminium Nitride (AlN). At 242 Hz<br />

resonant frequency, the peak power output produced for ZnO<br />

is 3.0560 µW and 0.8000 µW for AlN for the same volume<br />

TABLE IV<br />

ZINC OXIDE AND ALUMINUM NITRIDE PIEZOELECTRIC PROPERTIES<br />

Parameter ZnO AlN<br />

Density ( kg/m 3 ) 5.8 e -13 3.2 e -15<br />

Coupling Coefficient, k 0.33 0.24<br />

Relative dielectric<br />

constant, <br />

10.9 10.5<br />

TABLE V<br />

ELASTIC CONSTANTS FOR ZINC OXIDE , [C ZnO] 6X6 AND ALUMINUM NITRIDE , [C AIN] 6X6<br />

C COLUMN X ROW ZnO (N/m 2 ) AlN (N/m 2 )<br />

C 11 2.907 x 10 5 3.450 x 10 5<br />

C 21 1.21 x 10 5 1.250 x 10 5<br />

C 22 2.907 x 10 5 3.450 x 10 5<br />

C 31 1.051 x 10 5 1.200 x 10 5<br />

C 32 1.051 x 10 5 1.200 x 10 5<br />

C 33 2.109 x 10 5 3.950 x 10 5<br />

C 44 4.430 x 10 4 1.100 x 10 5<br />

C 55 4.240 x 10 4 1.180 x 10 5<br />

C 66 4.240 x 10 4 1.180 x 10 5<br />

TABLE VI<br />

PIEZOELECTRIC STRAIN COUPLING MATRIX COEFFICIENT FOR ZINC OXIDE , [dZnO] 3X6<br />

AND ALUMINUM NITRIDE , [d AIN] 3X6<br />

Parameters ZnO (C/m 2 ) AlN (C/m 2 )<br />

d 31 -5.430 x 10 -6 -5.800 x 10 -1<br />

d 33 1.167 x 10 -5 1.55<br />

d 15 1.134 x 10 -5 4.800 x 10 -1<br />

- d 15 -1.134 x 10 -5 -4.800 x 10 -1<br />

88


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

BRIEF BIOGRAPHY OF THE AUTHOR<br />

Aliza Aini Md Ralib received the B. Eng (Hons) Computer and<br />

Information Engineering from the International Islamic University Malaysia<br />

(IIUM), Kuala Lumpur, Malaysia in 2006 and currently working toward<br />

Master Degree in Electronic Engineering. Her main research interests are<br />

VLSI and MEMS. She currently involves in the design and simulation of<br />

MEMS piezoelectric energy harvesting devices for wireless sensor in power<br />

plant application.<br />

Fig. 11. Output power produced versus applied resonant frequency for<br />

two different piezoelectric materials<br />

TABLE VII<br />

OUTPUT POWER FOR TWO DIFFERENT PIEZOELECTRIC MATERIALS<br />

Design<br />

( Wbeam x beam)<br />

Design 4 :<br />

1010 x 4299<br />

Design 5 :<br />

672 x 5039<br />

Resonant<br />

Frequency<br />

(Hz)<br />

Output Power (µW)<br />

AlN ZnO<br />

463.5 0.2000 1.8216<br />

242 0.8000 3.0560<br />

of cantilever beam. At 463.5 Hz resonant frequency, the peak<br />

output power produced for ZnO is 1.8216 µW compared to<br />

smaller output power for AIN material 0.200 µW. This is due<br />

to the fact that the zinc oxide has higher piezoelectric<br />

coupling coefficient compared to aluminium nitride as shown<br />

in Table IV.<br />

IV. CONCLUSION<br />

We presented in this paper the mechanical finite element<br />

solution of MEMS piezoelectric energy harvesting generating<br />

at low frequencies. Cantilever structure has been identified as<br />

the most suitable structure for maximum energy conversion.<br />

The performance of the miniature devices were evaluated by<br />

varying the volume of the cantilever beam (length and width<br />

of the cantilever beam). Volume increment of the cantilever<br />

beam decreases the resonant frequency and increases the<br />

peak power output produced. The effect of the resonance<br />

frequency to the output power was also discussed in this<br />

paper. The lower the resonance frequency, the higher the<br />

output power produced. Selection of piezoelectric material<br />

was also essential to get the optimize output power. Zinc<br />

oxide piezoelectric material produced larger output power<br />

compared to aluminium nitride at the same resonance<br />

frequency due to the zinc oxide has higher piezoelectric<br />

coupling coefficient compared to aluminium nitride.<br />

ACKNOWLEDGMENT<br />

The research was supported by the R&D grant from Tenaga<br />

Nasional Berhad Malaysia and collaboration between<br />

Universiti Tenaga Nasional Malaysia and International<br />

Islamic University Malaysia.<br />

Anis Nurashikin Nordin received the B. Eng. Degree in Computer and<br />

Information Engineering from the International Islamic University Malaysia<br />

(IIUM), Kuala Lumpur, Malaysia in 1999, and the M.S degree in Computer<br />

Engineering from the George Washington University (GWU), Washington<br />

DC, in 2002, and the D. Sc. Degree in Electrical and Computer Engineering<br />

at GWU. Currently, she is a lecturer at International Islamic University<br />

Malaysia (IIUM).Her main research interests are VLSI and RF MEMS,<br />

SAW Resonators, and particularly oscillators.<br />

Raihan Othman received the B Sc..in Physics, and the M.Sc degree in<br />

ThinFilm Technology, and Ph.D in Electrochemical Power Sources, all<br />

from the University of Malaya (UM) , Kuala Lumpur. Currently, he is a<br />

lecturer at International Islamic University Malaysia (IIUM). His main<br />

research interests are metal-air electrochemical system and biological fuel<br />

cells.<br />

Hanim Salleh received the B. Sc in Agricultural Engineering from the Cum<br />

Laude, Georgia, USA, and the M.S degree in Agricultural Process<br />

Engineering from the Universiti Putra Malaysia and PhD in sound and<br />

vibration studies at University of Southampton, United Kingdom. Currently,<br />

she is a senior lecturer at Universiti Tenaga Nasional Malaysia (UNITEN)<br />

since 2007.Her main research interests are dynamic systems, vibrations<br />

control, instrumentation and energy harvesting.<br />

REFERENCES<br />

[1] Jong Cheol Park; Jae Yeong Park; Yoon-Pyo Lee; , "Modeling<br />

and Characterization of Piezoelectric d 33-Mode MEMS Energy<br />

Harvester," Microelectromechanical Systems, Journal of , vol.19,<br />

no.5, pp.1215-1222, Oct. 2010<br />

[2] Priya, Shashank, Inman, Daniel J. (2009). Energy Harvesting<br />

Technologies.<br />

[3] Aini Md Ralib, A.; Nurashikin Nordin, A.; Salleh, H.; ,<br />

"Simulation of a MEMS piezoelectric energy harvester," Design<br />

Test Integration and Packaging of MEMS/MOEMS (DTIP), 2010<br />

Symposium on , vol., no., pp.177-181, 5-7 May 2010<br />

[4] Anis Nurashikin Nordin (2008) Design, Implementation and<br />

Characterization of Temperature Compensated SAW Resonators<br />

in CMOS Technology for RF Oscillators.<br />

[5] Coventorware 2010 Application Notes<br />

[6] Bassiri-Gharb, Nazanin (2008) Piezoelectric and Acoustic<br />

Materials for Transducer Applications. Springer USpp. 413-430.<br />

[7] Coventerware Version 2006. MEMS Design and Analysis<br />

Tutorials, Volume 1.<br />

[8] Shad Roundy, Jan M.Rabaey and Paul Kenneth Wright (2003).<br />

Energy Scavenging for Wireless Sensor Networks. Kluwer<br />

Academic Publishers.<br />

[9] Paradiso, J.A.; Starner, T.; , "Energy scavenging for mobile and<br />

wireless electronics," Pervasive Computing, IEEE , vol.4, no.1,<br />

pp. 18- 27, Jan.-March 2005<br />

[10] W.J. Choi • Y. Jeon• J.-H. Jeong• R. Sood• S.G. Kim (2006)<br />

“Energy Harvesting MEMS device based on thin film<br />

piezoelectric cantilevers”, Electroceramics Journal 2006, Volume<br />

17, Numbers 2-4, December 2006.<br />

[11] Ralib, A.A.M.; Nordin, A.N.; Salleh, H.; , "Theoretical modeling<br />

and simulation of MEMS piezoelectric energy harvester,"<br />

Computer and Communication Engineering (ICCCE), 2010<br />

International Conference on , vol., no., pp.1-5, 11-12 May 2010<br />

89


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Parameter Design of Triaxial Microaccelerometers<br />

with Piezoelectric Thin-Film<br />

Jyh-Cheng YU<br />

National Kaohsiung First University of Science and Technology<br />

2 Jhuoyue Rd.,Nanzih , Kaohsiung City 811,Taiwan, R.O.C.<br />

Chungda Lee<br />

Department of Mechanical and Automation Engineering<br />

I-Shou University, 1, Sec. 1, Syuecheng Rd., Dashu, Kaohsiung 840, Taiwan, R.O.C.<br />

Abstract - This study proposes an analytical model for a<br />

high sensitivity piezoelectric thin film triaxial<br />

microaccelerometer, and investigates the influence of the<br />

fabrication processes on the parameter design. The structure<br />

design is consisted of four parallel suspension beams, a<br />

central seismic mass, and eight piezoelectric thin film<br />

transducers. The sensitivity consistence between out-of-plane<br />

and in-plane accelerations is a key issue for the following<br />

signal processing. A simplified system modeling scheme<br />

based on anisotropic material properties using area moment<br />

method and laminated beam theory is presented and applied<br />

to the parameter design. An optimized thickness ratio<br />

between piezoelectric thin film and the silicon substrate of<br />

the laminated supporting beam is derived to maximize the<br />

sensitivity. The study shows that the aspect ratio of the<br />

seismic mass is the deterministic factor to the differences<br />

among triaxial sensitivities. The triaxial sensitivity<br />

performances for two structure designs with the seismic<br />

masses fabricated using chemical wet etching and deep<br />

reactive ion etching (DRIE) are compared. The design using<br />

DRIE provides more even triaxial sensitivity, while the<br />

design using wet etching shows cost advantage with<br />

additional parameter constraints due to the required<br />

compensation pattern for convex corner etching.<br />

I. INTRODUCTION<br />

For the last two decades, the design and research of<br />

piezoelectric sensing accelerometers have drawn great<br />

attention due to the advantages of low cost, energy saving,<br />

simple structure, high sensitivity, and excellent dynamic<br />

performance. Bulk micromachining based piezoelectric<br />

accelerometers have a lower detection level that is suitable<br />

for precision measurement. Piezoelectric accelerometers<br />

consisted of a single seismic mass and cross supported beams<br />

have been investigated for uniaxial measurement [1][2][3]<br />

and triaxial measurement [4] applications. Most analytical<br />

models assume piezoelectric thin film for simplification.<br />

Hindrichsen [5] proposed an analytical model for a PZT thick<br />

film triaxial accelerometer based on anisotropic material<br />

tensors and Euler’s beam.<br />

In general, accelerometers with PZT thick film<br />

transducers have higher charge and voltage sensitivities in<br />

comparison with those thin film devices in the same given<br />

dimensions. Another configuration of piezoelectric thin-film<br />

triaxial microaccelerometers consisted of parallel beam<br />

suspensions and a central seismic mass has been studied<br />

[6][9]. Parallel beam suspensions provide higher sensitivity<br />

for in-plane accelerations comparing with those using a cross<br />

beam structure.<br />

Different fabrication processes of seismic mass, such as<br />

chemical wet etching and deep reactive ion etching (DRIE),<br />

affect the parameter design for device dimensions. Most of<br />

the triaxial accelerometers do not have equal sensitivity for<br />

all the three directions. Accelerometers fabricated using<br />

DRIE of a thick SOI wafer could provide better consistence<br />

of sensitivity in all the three orthogonal axes with a proper<br />

parameter design. On the other hand, wet etching process has<br />

a great cost advantage with dimensional limitations due to<br />

sloping walls and a required compensation pattern for convex<br />

corners.<br />

This work presents the system modeling and parameter<br />

design based on fabrication constraints of a triaxial<br />

piezoelectric accelerometer. The proposed configuration<br />

suspension of the device adopts parallel beams at both ends<br />

of a seismic mass using etching of (100) SOI wafer. This<br />

study adopts the area moment method to determine the<br />

stiffness matrix of the supporting beams describing the<br />

relationship between the end forces and moments and the<br />

boundary conditions. The elastic property of the suspension<br />

beams considers both the silicon beams and the piezoelectric<br />

films using the laminated beam theory based on anisotropic<br />

material properties. Analytical models of the resonant<br />

frequency and the sensitivity are verified with the results<br />

using finite element method to justify the model accuracy.<br />

Three axial sensitivities for applicable conditions of design<br />

geometry due to different fabrication processes are<br />

compared.<br />

II. DESIGN OF MICROACCELEROMETER<br />

The proposed microaccelerometer consists of a<br />

quadri-beam suspension, a seismic mass, and eight<br />

90


piezoelectric displacement transducers. Each of the two<br />

opposite ends of the seismic mass is supported by two<br />

parallel suspension beams. The thickness of suspension<br />

beams is defined by the silicon-on-insulator (SOI). Two<br />

transducers are patterned on each suspension. From a proper<br />

interconnection among the transducers, triaxial accelerations<br />

can be measured without cross-axis interference [9].<br />

The design of the accelerometer depends on the processes<br />

of bulk micromachining for the seismic mass. The seismic<br />

mass can be fabricated from either Deep Reactive Ion<br />

Etching (DRIE) as shown Figure 1, or chemical wet etching<br />

as shown in Figure 2, following by dry etching to release the<br />

suspension beams. Flexibility for the crystalline orientation<br />

of suspension beams and high aspect ratio of seismic mass<br />

are possible for DRIE. The suspension beams are often [100]<br />

oriented in the previous studies [3][4][5][6]. Chemical wet<br />

etching of (100) silicon wafer using such as KOH and<br />

TMAH has the cost advantage over dry etching. However,<br />

the sloping walls of the seismic mass cause additional<br />

constraints in the dimensional design on account of the<br />

required convex corner compensation in the masking layer of<br />

wet etching. Also, the crystalline orientation of the<br />

suspension beams will be restricted to [110].<br />

Figure 1 Design of the accelerometer using DRIE dry etching for the seismic<br />

mass<br />

Figure 2 Design of the accelerometer using wet etching for the seismic mass<br />

The out-of-plane (z axial) acceleration will result in a<br />

symmetric vibration, and in-plane (x and y axial)<br />

accelerations will produce asymmetric and torsional<br />

vibrations. The inertia force will introduce bending and<br />

torsional stress on the beams that will produce electrical<br />

charge by the piezoelectric transducers. The seismic mass<br />

from dry etching often provides higher sensitivity because<br />

the distance between the surfaces of suspension beams to the<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

center of mass is larger in contrast with that of the seismic<br />

mass from wet etching.<br />

III. ANALYTICAL MODEL<br />

The system model of the accelerometer is consisted of a<br />

mechanical subsystem and an electric subsystem. The<br />

mechanical subsystem is assumed a spring-mass-damper<br />

system. The equivalent stiffness of the suspension and the<br />

equivalent seismic mass are two deterministic factors for the<br />

structure modeling. Laminated beam theory is applied to<br />

obtain the equivalent bending rigidity of the supported beams.<br />

The application of area moment method provides the<br />

formulation of suspension stiffness for three vibration modes.<br />

The piezoelectric transducers convert beam stresses into<br />

output charge.<br />

A. Equivalent bending rigidity of the laminated beam<br />

The derivation of the structure model is based on the<br />

following assumptions:<br />

(1) the influence of electrodes on the beam stiffness is<br />

negligible;<br />

(2) the seismic mass and rim of the structure are rigid;<br />

(3) the deflections of substrate material and piezoelectric<br />

films of supporting beams observe linear elasticity and<br />

Hooke’s Law;<br />

(4) the piezoelectric material is anisotropic;<br />

(5) the supported beams are wide and flat, and thin beam<br />

theory (Euler-Bernoulli beam model) is applied; the<br />

stresses in the z-direction and the strains in the<br />

y-direction are negligible compared with others [7].<br />

Therefore,<br />

0543<br />

(1)<br />

0542<br />

The constitutive equation can be simplified as follows<br />

for an orthotropic piezoelectric thin film such as PZT:<br />

1<br />

p p<br />

CCC<br />

p<br />

1 3, 2, 1,<br />

0 1<br />

<br />

<br />

<br />

<br />

<br />

2 p,21<br />

p,22<br />

CCC<br />

p,23<br />

0 <br />

2 <br />

<br />

<br />

<br />

<br />

(2)<br />

<br />

3 p p<br />

CCC<br />

p<br />

3 3, 2, 1,<br />

0 3<br />

<br />

<br />

<br />

<br />

6 <br />

000<br />

C<br />

p 6 6,<br />

6<br />

<br />

From the stress/strain assumptions in (1) and the expression<br />

for σ 3 in (2), we obtain<br />

C<br />

3<br />

<br />

(3)<br />

C<br />

p 3 1,<br />

1<br />

p 3 3,<br />

Similarly, with the aid of (3), stress σ 1 can be represented as<br />

follows:<br />

<br />

<br />

<br />

CC <br />

<br />

(4)<br />

<br />

<br />

,13CC<br />

pp<br />

,31<br />

CE (5)<br />

,13 pp<br />

,31<br />

1<br />

C<br />

p 1 1,<br />

1<br />

EP<br />

<br />

1<br />

C <br />

p 3 3,<br />

pP 1 1,<br />

C<br />

p 3 3,<br />

where E p is the effective modulus of elasticity for the<br />

piezoelectric film.<br />

91


11-13 <br />

May 2011, Aix-en-Provence, France<br />

Also, the cross section of the supported beams is assumed<br />

<br />

to be wide and flat, and under the plane stress condition.<br />

Therefore, the effective modulus of elasticity, E b , for the<br />

silicon beams can be derived similarly as (6)<br />

CS,13CS,31<br />

EB<br />

CS,11<br />

<br />

(6)<br />

CS,33<br />

(a) Symmetric bending<br />

where C S,11 , C S,13 , C S,13 and C S,33 are the stiffness coefficients<br />

of silicon beams.<br />

Here we apply laminated beam theory to derive the<br />

equivalent bending rigidity [8]. The laminated beam can be<br />

treated as an equivalent beam of the same material as<br />

substrate layer with the width of the piezoelectric layer<br />

adjusted according the elasticity ratio to the substrate<br />

material’s as shown in Figure 3. The distance from the<br />

neutral axis Y to the interface can then be obtained as (7).<br />

a <br />

1<br />

2<br />

2<br />

Btb<br />

B b<br />

2<br />

Pt<br />

p<br />

E E<br />

E t E t<br />

P P<br />

By parallel axis theorem, the equivalent moment of<br />

inertia about Y-axis can be derived, and the equivalent<br />

bending rigidity of the composite beam is as follows [9]:<br />

3<br />

3<br />

t<br />

<br />

<br />

<br />

P 2 2 t<br />

<br />

b 2 2<br />

( EI <br />

<br />

Y<br />

)<br />

eq<br />

EPwb<br />

tPa<br />

tPa<br />

EBwb<br />

tba<br />

tba<br />

(8)<br />

3<br />

3<br />

<br />

EP<br />

wb<br />

<br />

E<br />

B<br />

(7)<br />

(b) Asymmetric bending<br />

(c) Torsional bending<br />

Figure 4 Three principal vibration modes of the proposed device<br />

Figure 5 Beam with arbitrary boundary conditions<br />

Assume the supporting beams are fixed at the rim of the<br />

vibration device, from the boundary conditions shown in<br />

Figure 6, the stiffness matrix can be simplified as (10).<br />

Figure 3 Cross section of the equivalent piezoelectric film/Si beam<br />

B. Derivation of suspension stiffness using area moment<br />

method<br />

The elastic property of the suspension beams considers<br />

both the silicon beams and the piezoelectric films using the<br />

laminated beam theory. The supported beams are assumed<br />

wide and flat, and Euler-Bernoulli or thin beam theory<br />

applies. The stresses in the z-direction and the strains in the<br />

y-direction are negligible in comparison with others to<br />

simplify the model. The relationship between the end forces,<br />

moments, and the boundary conditions as shown in Figure 4<br />

and Figure 5 can be described using the stiffness matrix as<br />

(9).<br />

F<br />

<br />

M<br />

<br />

F<br />

<br />

M<br />

1<br />

1<br />

2<br />

2<br />

k<br />

<br />

k<br />

<br />

<br />

k<br />

<br />

<br />

k<br />

11<br />

21<br />

31<br />

41<br />

k<br />

k<br />

k<br />

k<br />

12<br />

22<br />

32<br />

42<br />

k<br />

k<br />

k<br />

k<br />

13<br />

23<br />

33<br />

43<br />

k<br />

k<br />

k<br />

k<br />

14<br />

24<br />

34<br />

44<br />

u1<br />

<br />

<br />

<br />

<br />

<br />

1<br />

<br />

<br />

u<br />

2 <br />

<br />

<br />

<br />

<br />

<br />

2 <br />

(9)<br />

Figure 6 Free body diagram of the beam with one fixed end<br />

F<br />

m k11<br />

k12<br />

u<br />

<br />

<br />

M<br />

m k21<br />

k22<br />

(10)<br />

<br />

This study adopts the area moment method [10] to<br />

determine the stiffness matrix [k] of the supporting beam.<br />

12EI<br />

6EI<br />

<br />

F<br />

m 3 2 <br />

<br />

l l<br />

u<br />

<br />

<br />

<br />

M<br />

<br />

6EI<br />

4EI<br />

m <br />

<br />

<br />

(11)<br />

<br />

2<br />

l l <br />

The displacement conditions of the supporting beams for<br />

three vibration modes are shown in Table 1.<br />

Table 1 Displacement conditions of supported beams<br />

u<br />

θ<br />

Symmetric δ m 0<br />

Asymmetric l m α / 2 α<br />

Torsional (w m – w b ) β / 2 0<br />

92


Figure 7 Displacement conditions of supported beams for symmetric<br />

bending<br />

m<br />

Figure 8 Displacement conditions of supported beams for asymmetric<br />

bending<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

where (EI Y ) eq is the equivalent bending rigidity about Y<br />

axis ,and l b is the length of the suspension beam.<br />

Follow the similar procedure, the equivalent asymmetric<br />

stiffness and the equivalent torsional stiffness can be derived<br />

as listed in Table 6.<br />

The equivalent mass of the structure considers the seismic<br />

mass and the effective mass of the supported beams. A simple<br />

integration of the structure will give the seismic mass:<br />

<br />

m <br />

<br />

lmwmh<br />

<br />

l<br />

w t<br />

m<br />

m b<br />

m<br />

h<br />

2<br />

m<br />

( l<br />

m<br />

4<br />

wm<br />

)cot<br />

h<br />

3<br />

3<br />

m<br />

2 <br />

cot <br />

<br />

(17)<br />

where is the density of Silicon, = 54.7° for anisotropic<br />

wet etching of silicon, and = 90° for dry etching.<br />

Figure 9 Displacement conditions of supported beams for torsional bending<br />

C. Analytical formula of resonant frequency<br />

The resonant frequency of the structure is determined by<br />

the equivalent stiffness of the suspension and the equivalent<br />

system mass. The out-of-plan resonant frequency f n and<br />

in-plan resonant frequencies f n,a f n,t can then be represented<br />

as follows:<br />

f<br />

1<br />

K<br />

s<br />

n,<br />

s<br />

(12)<br />

2<br />

mt,<br />

s<br />

K<br />

a<br />

n,<br />

a<br />

<br />

2<br />

J<br />

(13)<br />

t,<br />

a<br />

f<br />

f<br />

1<br />

1<br />

K<br />

t<br />

n,<br />

t<br />

<br />

2<br />

J<br />

(14)<br />

t,<br />

t<br />

where m t,s is the equivalent total mass and K s is the stiffness<br />

for the symmetric vibration mode, J t,a is the equivalent<br />

moment of inertia and K a is the torsional stiffness for the<br />

asymmetric vibration mode, and J t,t is the equivalent moment<br />

of inertia and K t is the stiffness for the torsional vibration<br />

mode.<br />

For symmetric bending, the end force relates to the<br />

displacement from (11) as follows,<br />

F m 11<br />

k u<br />

(15)<br />

The stiffness of the system for symmetric vibration can be<br />

given as<br />

K<br />

s<br />

48( EI )<br />

(16)<br />

Y eq<br />

3<br />

lb<br />

Figure 10 Geometric parameters for the proposed accelerometer design<br />

The effective mass of the supporting beams, m eq , can be<br />

derived by kinetic energy method [10].<br />

m ( )<br />

eq<br />

cm mb (18)<br />

where m b is the actual mass of the laminated composite beam<br />

and c m is the effective constant which is 13/35 for symmetric<br />

bending. Similarly, the equivalent rotational moment of<br />

inertia is consisted of the equivalent rotational moment of<br />

inertia of the seismic mass and the effective rotational<br />

moment of inertia of the supported beams.<br />

D. Analytical formula of sensitivity response<br />

The general system modeling for three primary vibration<br />

modes shown in Figure 4 can be represented as (19):<br />

2<br />

e s ni<br />

( s)<br />

Si<br />

<br />

2<br />

2<br />

ai<br />

s<br />

1<br />

s 2nis<br />

<br />

(19)<br />

ni<br />

where S i is the corresponding open circuit voltage<br />

sensitivity and ω ni = 2π×(f n,i ) is the corresponding resonant<br />

frequency for each primary vibration mode i.<br />

The electric subsystem is determined by the piezoelectric<br />

transducers. When the inertial force of the seismic mass is<br />

acting on the beam, an infinitesimal charge will be generated<br />

due to the stresses on the piezoelectric transducer. Integration<br />

of the charge along the suspension beams yields the charge<br />

output of piezoelectric transducers.<br />

For instance of symmetric vibration, the application of<br />

Hook’s law provides the displacement of the seismic mass<br />

under vertical acceleration a Z<br />

.<br />

m a<br />

t z<br />

( lb)<br />

<br />

m<br />

<br />

(20)<br />

Ks<br />

u<br />

93


The deflection equation of the Euler’s beam can be<br />

derived from the boundary conditions.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

2<br />

)23 x xlx () ( u<br />

16.57<br />

6.39 6.39 0 0 0 <br />

<br />

6.39 16.57 6.39 0 0 0<br />

<br />

<br />

<br />

bm)2(6)()(<br />

zxux zxl<br />

6.39 6.39 16.57 0 0 0 <br />

10 2<br />

C S<br />

)/(1<br />

100 <br />

mN (28)<br />

<br />

009 <br />

6<br />

<br />

09 <br />

6 .<br />

<br />

<br />

<br />

9 <br />

6 .<br />

19.44<br />

3.52 6.39 0 0 0 <br />

t<br />

xlx<br />

) <br />

bm<br />

<br />

a<br />

(2(6)(<br />

3.52 19.44 6.39 0 0 0<br />

<br />

<br />

<br />

2<br />

6.39 6.39 16.57 0 0 0 <br />

10 2<br />

C S<br />

mN )/(1<br />

110 <br />

<br />

(29)<br />

<br />

009 <br />

6<br />

<br />

09 <br />

6 .<br />

<br />

<br />

<br />

0 <br />

9 .<br />

bm<br />

(21)<br />

The strain distribution for the beam is as follows,<br />

<br />

(22)<br />

Therefore, the average strain of the piezoelectric film along<br />

the beam due to the bending moment is as (23)<br />

m<br />

(23)<br />

From the free-body diagram of Figure 7, the bending<br />

moment along the supported beam for a given displacement<br />

δ Z of the seismic mass can be represented as follows:<br />

M )( t <br />

P EP<br />

<br />

1<br />

a <br />

<br />

<br />

(25)<br />

I<br />

eq 2 EB<br />

<br />

If the stresses other than σ 1 caused by bending of the<br />

piezoelectric film are negligible and no external electrical<br />

field is applied, the contribution from an infinitesimal portion<br />

of the piezoelectric material to the total charge is as follows:<br />

3 mdD<br />

13<br />

(26)<br />

where d 31 is the transverse piezoelectric charge to stress ratio.<br />

Eight transducers in total are interconnected such that<br />

triaxial accelerations can be measured selectively.<br />

A proper interconnection of eight transducers in Figure 4<br />

can eliminates cross axis sensitivity, and provides accurate<br />

measurement of triaxial accelerations using the proposed<br />

configuration [9]. From simple integration of the generated<br />

charge along the beams, the sensor’s open-circuit voltage<br />

sensitivity S Z can be derived as follows:<br />

V 4Q<br />

Z , bs 31<br />

tlEdm<br />

P <br />

b <br />

Pt<br />

SZ<br />

<br />

a<br />

(27)<br />

aZ<br />

ZCa<br />

EI<br />

e<br />

<br />

33 qY<br />

2)( <br />

2<br />

where C is the capacitance of the piezoelectric transducer,<br />

and <br />

33<br />

is the dielectric permittivity of piezoelectric film.<br />

Similar procedures can be applied to asymmetric and<br />

torsional vibrations. Summary of analytical modeling<br />

parameters for the tri-axial acceleration sensing are listed in<br />

Table 6.<br />

IV. VERIFICATION USING FEM MODEL<br />

Typically, the structure of the proposed<br />

microaccelerometer is fabricated using a (100) SOI wafer.<br />

There is no specific dimensional constraint if DRIE is<br />

applied to fabricate the se(11)ismic mass. The suspension<br />

beams can be aligned to either [100] or [110] of the silicon<br />

crystalline directions. However, if chemical wet etching is<br />

applied for the seismic mass, the suspension beams are<br />

aligned to [110]. The corresponding stiffness matrices of the<br />

silicon supported beams for [100] and [110] aligned are<br />

shown in (28) and (29) respectively.<br />

EI <br />

ze<br />

EI )( <br />

1 The accuracy 2)( of the analytical model is verified<br />

qY ze qY<br />

6<br />

using a<br />

M )( <br />

<br />

2<br />

3<br />

(24) 3-D ANSYS FEM model. Anisotropic material properties of<br />

lb<br />

lb<br />

PZT and silicon are introduced to both the analytical models<br />

The average bending stress σ 1 of the piezoelectric film due to and the FEM analysis. The results of resonant frequency and<br />

the bending moment is as follows:<br />

the open circuit voltage sensitivity are compared in Table 4<br />

and Table 5. The small error percentages demonstrate<br />

satisfactory accuracy.<br />

Table 2 Dimensions of the tri-axial accelerometer with the seismic mass<br />

from wet etching<br />

l b w b t b t p l m w m h m<br />

800 200 20 1 2200 2200 490<br />

(unit: μm)<br />

Table 3 Dimensions of the tri-axial accelerometer with the seismic mass<br />

from dry etching<br />

l b w b t b t p l m w m h m<br />

200 60 10 1 1000 1000 490<br />

(unit: μm)<br />

Table 4 Verification of the resonant frequency for chemical wet etching<br />

design<br />

Analytical<br />

Model<br />

FEM<br />

Error<br />

Symmetric 1.17 1.17 0.3%<br />

Asymmetric 2.56 2.49 2.8%<br />

Torsional 1.72 1.70 1.0%<br />

(Unit: KHz)<br />

Table 5 Verification of the sensitivity for chemical wet etching design<br />

Analytical<br />

Model<br />

FEM<br />

Error<br />

Symmetric 40.90 39.90 2.5%<br />

Asymmetric 6.48 6.40 1.2%<br />

Torsional 9.05 8.77 3.2%<br />

(Unit: mV/g)<br />

Table 5 Resonant frequency and output sensitivity for dry etching design<br />

Resonant frequency<br />

(KHz)<br />

Voltage sensitivity<br />

(mV/g)<br />

Symmetric 11.3 7.04<br />

Asymmetric 16.8 2.83<br />

Torsional 13.2 3.43<br />

IV. PARAMETER STUDY<br />

The objective of the design is to obtain high and even<br />

sensitivity in three principal directions with the constraints of<br />

the minimum bandwidth and the device size. For the seismic<br />

mass fabricated from wet etching, the walls are sloping as<br />

Figure 10. Also, a pertinent convex corner compensation<br />

pattern is required for the masking design in etching to<br />

94


protect the corners from undercut. Therefore, parameter<br />

design of the structure of the accelerometer will be<br />

constrained by the fabrication processes. If a typical <br />

band compensation [11] is used as shown in Figure 11, the<br />

constraints among the size of the seismic mass and the length<br />

of the supported beams are listed in (30) to (32).<br />

m<br />

23 hw<br />

m<br />

(30)<br />

b<br />

21.1<br />

hl<br />

m<br />

(31)<br />

m<br />

23 hl<br />

m<br />

(32)<br />

Table 1 and Table 2 show the parameters of two sample<br />

devices fabricated using chemical wet etching and DRIE<br />

respectively. The thickness of the seismic mass is assumed to<br />

be 490 (μm) for a typical 4-inch (100) wafer. The device size<br />

of the accelerometer using wet etching is larger owing to the<br />

compensation pattern required for the convex corners of<br />

seismic mass.<br />

Also, longer suspension beams and a larger seismic mass<br />

cause a lower resonant frequency and larger sensitivity. The<br />

triaxial sensitivities for the accelerometer fabricated using<br />

DRIE are more consistent than those using wet etching. The<br />

sensitivity due to symmetric vibration is the largest while the<br />

sensitivity on account of asymmetric vibration is the lowest.<br />

The difference can be reduced if a thicker wafer is available,<br />

which results in a thicker seismic mass.<br />

26.1<br />

Figure 11 Convex corner compensation using band<br />

For instance of the design using wet etching, select three<br />

different thickness of the silicon substrate beam and vary the<br />

thickness of the piezoelectric thin film. The sensitivity of<br />

out-of-plane acceleration is shown in Figure 12. As expected,<br />

the thinner the supporting beams, the higher the response<br />

sensitivity. However, the maximum sensitivity occurs at t p /t b<br />

= 0.7~0.8.<br />

2<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Response sensitivity for out-of-plane<br />

acceleration<br />

0.1<br />

0.09<br />

0.08<br />

0.07<br />

0.06<br />

0.05<br />

0.04<br />

0.03<br />

0.02<br />

0.01<br />

0<br />

0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 1.3<br />

tp / tb<br />

Figure 12 Parameter study for the thickness ratio between piezoelectric film<br />

and silicon substrate of supporting beam (t p /t b )<br />

Here, we define the deviation coefficient as the<br />

performance index for triaxial accelerometer.<br />

<br />

<br />

2 max(<br />

i<br />

) min( SS<br />

i<br />

)<br />

DC (33)<br />

max( ) min( SS<br />

)<br />

i<br />

i<br />

where max(S i ) is the maximum sensitivity and min(S i ) is the<br />

minimum sensitivity among triaxial sensitivities. A smaller<br />

DC represents a smaller variation among triaxial sensitivities.<br />

Our investigation shows that the thickness ratio t p /t b , the<br />

aspect ratio of seismic mass w m /l m , and the width and depth<br />

ratio of supporting beam w b /l b have a negligible influence on<br />

DC. However, the thickness to width ratio of the seismic<br />

mass, h m /l m has a great impact on DC. The thicker the mass,<br />

the smaller the deviation coefficient.<br />

IV. CONCLUSION<br />

This study presents an analytical model for a high<br />

sensitivity tri-axial piezoelectric micro-accelerometer using<br />

piezoelectric thin film sensing. The modeling results of<br />

resonant frequency and sensor sensitivity are compared with<br />

FEM analysis. The proposed analytical model shows a good<br />

consistency under pertinent assumption of the design of<br />

transducers and suspension beams. The influence of the<br />

fabrication processes on the performance of the design is<br />

investigated. The model provides a good insight to the sensor<br />

design and can be applied to future design optimization.<br />

ACKNOWLEDGMENT<br />

The authors would like to thank the National Science<br />

Council (NSC 99-2221-E-327-029) and I-Shou University<br />

(ISU 94-02-15) of the Republic of China, Taiwan for<br />

financially supporting this research.<br />

Keywords: Area moment method, Piezoelectric thin film,<br />

triaxial microaccelerometer, Wet etching, System modeling<br />

REFERENCE<br />

t b (μm)<br />

[1] Yu J., Lan C.: System modeling of microaccelerometer using<br />

piezoelectric thin films. Sensors and Actuators A, 2001; 88, 2: 178-186.<br />

[2] Wang Q, Yang Z, Li F, Smolinski P: Analysis of thin film piezoelectric<br />

microaccelerometer using analytical and finite element modeling.<br />

Sensors and Actuators A, 2004; 113, 1: 1–11.<br />

[3] Wang L.-P., Wolf R.A., Jr.; Wang Y., Deng K.K., Zou L., Davis R.J.,<br />

Trolier-McKinstry S.: Design, fabrication, and measurement of<br />

20<br />

15<br />

10<br />

95


high-sensitivity piezoelectric microelectromechanical systems<br />

accelerometers, Journal of Microelectromechanical Systems, 2003, 12,<br />

4: 433-439.<br />

[4] Kunz K, Enoksson P, Stemme G: Highly sensitive triaxial silicon<br />

accelerometer with integrated PZT thin film detectors. Sensors and<br />

actuators A, 2001; 92: 156-160.<br />

[5] Hindrichsen C.C., Almind N.S., Brodersen S.H., Hansen O., and<br />

Thomsen E.V.: Analytial model of a PZT thick-film triaxial<br />

acceleromter for optimum design, IEEE Sensor Journal, 2009, 9, 4:<br />

419-429.<br />

[6] Zhu M, Kirby P, Lim MY: Lagrange’s formalism for modeling of a<br />

triaxial microaccelerometer with piezoelectric thin-film sensing,<br />

Sensors Journal, IEEE, 2004; 4, 4: 455 – 463.<br />

[7] Van Kampen RP, Woffenbuttel RF: Modeling the mechanical behavior<br />

of bulk-micromachined silicon accelerometers. Sensors and actuators A,<br />

1998; 64: 137-150.<br />

[8] Gere J. M., Timoshenko S. P. (1997) Mechanics of Materials, 4 th ed.,<br />

PWS <strong>Publishing</strong> Company, Boston, MA..<br />

[9] Yu J., Lee C., Chang C., Kuo W., Chang C.: Modeling Analysis of a<br />

Tri-Axial Microaccelerometer with Piezoelectric Thin-Film Sensing<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Using Energy Method, paper accepted, to appear in Journal of<br />

Microsystem Technologies.<br />

[10] Thomson W. T. and Dahleh M. D., Theory of vibration with application,<br />

5th ed., Prentice-Hall, New Jersey, 1998.: 23<br />

[11] Lang W.: Silicon Microstructuring Technology, Materials Science and<br />

Engineering: R: Reports, 1996 , 17, 1: 1-55.<br />

Brief biography of the corresponding author:<br />

Jyh-Cheng Yu graduated from the National Taiwan University,<br />

ROC, in 1985, and received the M.S. and Ph.D. degrees from<br />

the Department of Mechanical Engineering, the Ohio State<br />

University, USA. He now serves as a professor in the<br />

Department of Mechanical and Automation Engineering at<br />

the National Kaohsiung First University of Science and<br />

Technology. His research interests include design and<br />

manufacturing of piezoelectric microsensors, LCD back light<br />

module, and engineering optimization.<br />

Structure Stiffness<br />

Mass /<br />

Moment of Inertia<br />

Open Circuit<br />

<br />

s<br />

Sensitivity EI<br />

<br />

S<br />

<br />

Table 6. Summary of modeling parameters for the tri-axial acceleration sensing<br />

Symmetric<br />

Asymmetric<br />

Torsional<br />

Mode<br />

Mode<br />

Mode<br />

48EI<br />

<br />

2<br />

2<br />

3<br />

Y eq<br />

<br />

ll )463 12<br />

bb mme llE EIY<br />

eq<br />

qY<br />

( 2 )(<br />

I<br />

K s <br />

bbt<br />

Gtwc<br />

3<br />

Ka<br />

<br />

K<br />

<br />

3<br />

ts<br />

<br />

ww<br />

bm<br />

4 <br />

3<br />

l<br />

l<br />

l<br />

l<br />

b<br />

m t,s = m s +4m eq,s<br />

tlEdm<br />

tP<br />

<br />

12<br />

a<br />

Sa<br />

<br />

2 <br />

b<br />

4<br />

JJJ<br />

ya 0,<br />

ae t<br />

q<br />

<br />

<br />

lltEdzm<br />

t <br />

b<br />

xt 0,<br />

te t<br />

q<br />

b<br />

4<br />

JJJ<br />

3 P 1, b Ps t<br />

3 1,,<br />

bmp p<br />

pa 3 c p 1,,<br />

pb t<br />

<br />

2 a<br />

2<br />

S<br />

<br />

t<br />

<br />

Y eq 33<br />

lK 2<br />

ba <br />

a<br />

wE I<br />

33<br />

mY 33<br />

<br />

<br />

tEdlzm<br />

<br />

<br />

2)<br />

<br />

96


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Modeling and Experimental Validation of Levitating<br />

Systems for Energy Harvesting Applications<br />

Giorgio De Pasquale, Sonia Iamoni, Aurelio Somà<br />

Department of Mechanics, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129, Torino, Italy<br />

giorgio.depasquale@polito.it, sonia.iamoni@polito.it, aurelio.soma@polito.it.<br />

Abstract- The diamagnetic levitation principle is used to<br />

design an innovative typology of suspension for energy<br />

harvesting devices applied to very low frequency vibrating<br />

environments. The static configuration of the magnetostructural<br />

coupling is investigated starting from the theory of<br />

magnetism and a discrete numerical model is finally<br />

developed. The experimental validation is provided with<br />

measurements conducted by dedicated samples with a<br />

diamagnetic proof mass levitating in a magnetic field<br />

generated by permanent magnets. The results presented in this<br />

work provide important indications to the designer of<br />

microsystems for energy harvesting and the modeling<br />

approach proposed represent a valid design tool for coupled<br />

systems.<br />

I. INTRODUCTION<br />

Energy harvesting is a very promising strategy for the<br />

supplying of small systems and sensors that need energetic<br />

autonomy. Many applications may benefit from selfpowered<br />

systems, especially those related to sensing<br />

purposes in high energy vibrating environments: diagnostic<br />

systems for vehicles, structural monitoring, wireless sensors<br />

networks, measurement systems in laboratory facilities, etc.<br />

Very common problems related to the harvesting of energy<br />

from vibrations are the selection of transduction principle,<br />

the amplification of harvester bandwidth, the introduction of<br />

tuning systems, the duty cycle dimensioning and the global<br />

efficiency improvement. Many applications (e.g. sensing<br />

systems for vehicles, buildings, human body, etc.) imply<br />

very low vibration frequencies from the environment; this<br />

introduces additional problems to the tuning of the harvester<br />

and generally leads to higher proof masses and to<br />

limitations on miniaturization and integration. For these<br />

cases, the suspensions based on magnetic levitation<br />

represent a very promising opportunity to reduce the<br />

response of the harvester by preserving its small<br />

dimensions: compared to traditional mechanical<br />

suspensions, the stiffness of the magnetic interface is<br />

several orders of magnitude lower. Similar benefits interest<br />

MEMS energy harvesters, where very small masses are<br />

used [1-3]. Furthermore, the powerless functioning of these<br />

suspensions is very appreciable for the energetic efficiency<br />

of harvesters. The application of magnetic suspensions<br />

increases sensitively the lifetime of the harvesting device<br />

because the mechanical fatigue effects usually produced in<br />

the structural suspensions under alternate loads are<br />

completely avoided. Other advantages are given by the<br />

removal of mechanical bended elements, which are<br />

responsible to several energy dissipations sources:<br />

thermoelastic damping in the material, air damping under<br />

the suspensions, etc. [4]. The theoretical study of magnetic<br />

suspension was presented in some previous works, where<br />

analytic models and simulations were used [5,.6]; the<br />

magneto-structural coupling and the damping effect<br />

introduced by eddy currents were also described by Elbuken<br />

et al. [7]. Conversely, experimental measurements on<br />

levitating systems are not so diffused in literature [8, 9].<br />

This work describes the behavior of a magnetic<br />

suspension constituted by a layer of permanent magnets and<br />

a levitating diamagnetic proof mass. The static<br />

configuration of the suspension was studied by a finite<br />

element (FE) model; the results provided by the<br />

experimental validation are in good agreement with the<br />

levitation distance theoretically predicted. The models and<br />

characterizations presented are referred to a macrodimensional<br />

prototype of magnetic suspension. This is due<br />

to the easiness of fabrication and assembling and to the fact<br />

that micro fabrication techniques of magnets are still not<br />

completely mature, even if some promising samples were<br />

presented before [10]. However, the results obtained are<br />

suitable for the dimensioning of micro-scaled suspensions<br />

with similar topologies by a scaling procedure. The<br />

parametric approach was adopted in defining the geometry<br />

and topology of the specimen; a similar strategy was<br />

preferred also by Alqadi [11] for its analytic formulation.<br />

II. SAMPLES AND EXPERIMENTS<br />

The levitating system considered is represented by some<br />

layers of square permanent magnets and a diamagnetic<br />

square proof mass. This configuration is suitable to the<br />

fabrication of capacitive devices with magnetic suspension;<br />

for instance, Fig. 1 [8] represents a MEMS accelerometer<br />

with levitating proof mass and interdigitated comb drives<br />

detection. A similar architecture can be considered for the<br />

fabrication of diamagnetically levitating capacitive energy<br />

harvesters.<br />

The rare-earth permanent magnets are made of NdFeB<br />

and coated with Ni-Cu-Ni on the surface; they are oriented<br />

in the ‘opposite’ configuration [6] and arranged in N planar<br />

layers with four magnets each (Fig. 2). The diamagnetic<br />

material used for the levitating mass is pyrolytic graphite.<br />

The schematic configuration of the levitating system is<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

97


BACKGROUND<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

represented in Fig. 3 and its nominal dimensions and<br />

<br />

III. THEORETICAL<br />

material properties are listed in Table I.<br />

pyrolytic graphite density ρ 2200 kg/m 3 1⁄ 2 <br />

. (10)<br />

The measurements were performed by the optical The magnetic properties of materials are identified by the<br />

strategy; the laser sensor Keyence LK-G82 (50kHz parameters described below. The induced magnetization <br />

sampling frequency, 0.2µm±0.05% accuracy) was used to persists in the permanent magnets even if the external<br />

measure the static configuration of the system. The real magnetic field is removed; generally, it is given by<br />

thickness of every levitating mass was estimated as the<br />

<br />

average of 9 detections (1.707·10 -3 (1)<br />

average variance among<br />

where <br />

all the masses); the levitation height was also measured in<br />

is the magnetic susceptibility. The magnetic flux<br />

the different configurations of the system.<br />

density is related to by the equation<br />

(2)<br />

where is the magnetic permeability of free space<br />

(4 · 10 ⁄ ). Under the hypothesis of 1, valid<br />

for diamagnetic materials, the combination of Eqs. (1) and<br />

(2) gives the relations<br />

(3)<br />

1 (4)<br />

where 1 is the relative magnetic permeability<br />

and is the magnetic permeability.<br />

Diamagnetic materials are characterized by very small<br />

negative (that means slightly smaller than 1),<br />

paramagnetic materials have very small positive ( <br />

slightly higher than 1) and are weakly attracted by magnetic<br />

Fig. 1. Application of the magnetic suspension to real devices [8]. fields, ferromagnetic materials have large positive ( <br />

much larger than 1) and are strongly attracted by magnetic<br />

fields. Thanks to their properties, diamagnetic materials are<br />

able to generate a weak opposite field when inserted into an<br />

external magnetic field; consequently, in particular<br />

conditions, the magnetic force acting on the diamagnetic<br />

mass may balance the gravity force and produce levitation.<br />

To estimate the magnetic force, the single dipole of the<br />

diamagnetic material (e.g. atoms, molecules, ions, etc.) has<br />

Fig. 2. Image of the levitating system.<br />

to be considered. Each dipole has an individual<br />

y<br />

characteristic magnetization . The unit of volume ∆ has<br />

a magnetization<br />

z<br />

∑ <br />

∆ . (5)<br />

w<br />

The single dipole immerged in the magnetic field with<br />

o x flux density has the potential energy<br />

(6)<br />

o x l<br />

then, the elementary diamagnetic force acting on the dipole<br />

can be calculated as<br />

. (7)<br />

The diamagnetic force per unit volume is<br />

Fig. 3. Configuration of the levitating system.<br />

∑ <br />

∆ (8)<br />

and, from Eq. (3), it results<br />

TABLE I<br />

NOMINAL DIMENSIONS AND MATERIAL PROPERTIES<br />

1⁄ 2 (9)<br />

Description Symbol Value Unit<br />

IV. MODELING<br />

NdFeB magnets side w 20 mm<br />

NdFeB magnets thickness t’ 3 mm<br />

The static levitation distance of the proof mass can be<br />

NdFeB magnets layers N 1-2-3 -<br />

predicted by considering the diamagnetic force for unit<br />

NdFeB coercive force H c 860÷995 kA/m volume in the vertical direction as expressed by Eq. (9);<br />

χ<br />

NdFeB mag. susceptibility x,y -85·10 -6 -<br />

instead, the horizontal contributions are opposite in<br />

χ z -450·10 -6 -<br />

pyrolytic graphite side l 10 mm direction and self balanced. For orthotropic materials, it<br />

pyrolytic graphite thickness t 0.3-0.5-0.7-0.9-1.0 mm results<br />

98


A. Continuous domain<br />

Starting from a given configuration of permanent magnets<br />

in terms of shape, dimensions and polar orientation, the<br />

magnetic flux density can be calculated in the region of<br />

space surrounding the magnets as a 3-dimensional vector<br />

field. The diamagnetic proof mass is parallel to the plane of<br />

magnets and is situated in the same space region; its midplane<br />

is initially positioned at the distance from the<br />

magnets surface. The diamagnetic force in vertical direction<br />

can be estimated for all the points of the proof<br />

mass, assuming that each contribution of the force acts on<br />

the infinitesimal volume d d d d. The total vertical<br />

diamagnetic force at the height of the mid-plane is<br />

d<br />

(11)<br />

<br />

where is the proof mass volume. The static equilibrium of<br />

the diamagnetic proof mass is given by the following<br />

relation:<br />

(12)<br />

where is the gravity force acting on the proof<br />

mass, is the proof mass density and is the acceleration<br />

of gravity. Depending to the vertical position of the mass,<br />

the force balance may not to be verified and a recursive<br />

calculation is needed. In the further step of the calculation,<br />

the magnetic force should be evaluated at the height . The<br />

next value of the height must be assumed according to the<br />

following cases:<br />

if , then d<br />

if , then d<br />

The recursive calculation ends when <br />

at the levitation distance L .<br />

B. Discrete modeling<br />

In this case, starting from the configuration of permanent<br />

magnets, the magnetic flux density is calculated in the<br />

region of space surrounding the magnets as a 3-dimensional<br />

discrete vector field. This means that the value of is<br />

calculated only in specific points that are comparable to the<br />

nodes of a finite element model. The distribution of can<br />

be calculated in the discrete domain, starting from a given<br />

configuration of the permanent magnets, for example by<br />

using a commercial FEM simulator.<br />

The diamagnetic proof mass is parallel to the plane of<br />

magnets and is situated in the same space region; its midplane<br />

is initially positioned at the discrete distance from<br />

the magnets surface. The diamagnetic force in vertical<br />

direction can be estimated for all the discrete points<br />

of the proof mass, assuming that each contribution of the<br />

force acts on the discrete volume ∆ ∆ ∆ ∆. The<br />

central finite difference method can be used for this<br />

calculation. The total vertical diamagnetic force at the<br />

height of the mid-plane is<br />

∑∆ <br />

(13)<br />

As described before, the vertical equilibrium between<br />

diamagnetic and gravity forces has to be considered with a<br />

recursive calculation; the height of the mass at the further<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

step must be assumed according to the following cases:<br />

if , then ∆<br />

if , then ∆<br />

The recursive calculation ends when <br />

at the levitation distance L .<br />

C. Approximations<br />

The discrete modeling approach can be simplified by the<br />

following assumption: in case of thin proof mass, the<br />

variation of the diamagnetic force along the thickness can<br />

be neglected. This means that const. over the<br />

thickness. Thanks to this approximation, only the mid-plane<br />

of the proof mass can be considered in the modeling.<br />

The diamagnetic force in vertical direction can<br />

be estimated only for the discrete points of the mid-plane,<br />

assuming that each contribution of the force acts on the<br />

discrete volume ∆, which is placed across the mid-plane.<br />

The total vertical diamagnetic force at the height of the<br />

mid-plane is<br />

<br />

<br />

∆ <br />

(14)<br />

∆ ∑<br />

V. FEM SIMULATION OF MAGNETIC FIELD<br />

The distribution of the magnetic field around the<br />

permanent magnets in the described configuration ( 1)<br />

was calculated with a 3D FEM simulation by the<br />

commercial tool ANSYS 13.0. The elements solid96 were<br />

used to model the magnets and the surrounding air; the<br />

mesh size was 0.5mm and the coercive force <br />

750 kA⁄ m was assumed for the magnets. The first<br />

magnetization curve represented in Fig. 4 was used for<br />

NdFeB. The FEM model is shown in Fig. 5.<br />

The magnetic field distribution in the surrounding air was<br />

calculated; the value of at the vertical height 1mm is<br />

reported in the diagrams of Fig. 6. Due to the magnets<br />

orientation, the simulation results show the following<br />

symmetries of the magnetic field: , <br />

, | | | |.<br />

Fig. 4. First magnetization curve of NdFeB magnets.<br />

99


Fig. 5. Lower view of the FEM model with permanent magnets in the<br />

opposite configuration and air volume.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

VI. NUMERICAL CALCULATION OF STATIC LEVITATION<br />

The experimental levitation distance of the proof mass<br />

with 10mm and 1mm was assumed for the<br />

calculation of the magnetic force that was successively<br />

compared to the gravity force in order to verify the<br />

equilibrium. As described in the next section, the<br />

experimental levitation distance of the mid-plane of the<br />

proof mass in the configuration given is L, <br />

1.0687mm; the distribution of the magnetic field from the<br />

FEM model was calculated in correspondence to the vertical<br />

positions 1mm ∆ in the discretized domain, where<br />

∆ 0.5mm is the mesh size. The magnetic forces in<br />

horizontal directions are self-balanced, that reduces the<br />

equilibrium calculation at the vertical direction.<br />

Only one half of the proof mass was considered due to the<br />

symmetry of the levitating system; this part of the graphite<br />

volume was divided in several portions (1mm wide) as<br />

described by Fig. 7. The magnetic force was computed on<br />

the nodes situated along the longitudinal axis of each<br />

portion and then extended to the volume of the entire slice.<br />

Finally, the total magnetic force acting on the proof mass<br />

was calculated by adding all the contributions.<br />

The magnetic forces are calculated in correspondence to<br />

the nodes , situated along the longitudinal axis of each<br />

graphite slice (), as indicated in Fig. 7.<br />

Fig. 7. Division of the proof mass in portions and nodal magnetic force<br />

distribution.<br />

Fig. 6. Distribution of the magnetic field components at 1mm <br />

L, calculated from FEM simulation.<br />

The central finite difference method was used to compute<br />

the derivative of the magnetic flux density reported in Eq.<br />

(10). By introducing<br />

<br />

<br />

(15)<br />

it results, in the discretized domain,<br />

,<br />

<br />

,,<br />

∆<br />

(16)<br />

where the vertical index L, 1mm corresponds to<br />

coordinate of the experimental levitation height of the proof<br />

mass mid-plane and 1 L, ∆, as represented in<br />

Fig. 8.<br />

100


Fig. 8. Central finite difference method applied to the magnetic flux<br />

density.<br />

The nodal magnetic force is<br />

, <br />

,,<br />

∆<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

(17)<br />

where L, in the case considered.<br />

The magnetic force acting on each slice of the proof mass<br />

is related to the real volume of that portion of graphite.<br />

Depending to the number of nodes present on the<br />

longitudinal axis of one slice, each nodal force is referred to<br />

a small volume centered on the same node. For each<br />

graphite portion, it is related to the unit volume by the<br />

ratio<br />

<br />

<br />

<br />

(18)<br />

where is the number of nodes on the longitudinal axis.<br />

The magnetic force acting on the -th portion of the proof<br />

mass is<br />

<br />

∑ , <br />

(19)<br />

where , is the magnetic force per unit volume<br />

calculated in the node , . The total magnetic force acting<br />

on the entire proof mass is<br />

<br />

<br />

2·∑ ∑ , . (20)<br />

VII.<br />

RESULTS<br />

The levitation height was measured by the laser sensor [9]<br />

on the configuration with 1, 2, 3, nominal graphite side<br />

10mm and nominal graphite thickness <br />

0.3, 0.5, 0.7, 0.9, 1.0mm; the results, referred to the midplane<br />

of the proof mass, are reported in Fig. 9.<br />

the experimental levitation distance L, 1.0687mm in<br />

the configuration with 1 and 1mm; the measured<br />

thickness of the proof mass is 0.9117mm. The half<br />

graphite mass was divided in 7 portions ( 1, … ,7) and<br />

the coefficient for the volume correction was calculated for<br />

every portion. The magnetic force acting on each slice of<br />

graphite was calculated and compared to the corresponding<br />

value of the gravity force acting on the same portion. The<br />

mesh size used was 0.5mm that gives the number of nodes<br />

along the longitudinal axis of each portion. The results are<br />

listed in Table II.<br />

Portion<br />

<br />

Number<br />

of nodes<br />

<br />

TABLE II<br />

NUMERICAL CALCULATION RESULTS<br />

Volumetric<br />

coeff.<br />

(α)<br />

Magnetic<br />

force<br />

<br />

[mN]<br />

Gravity<br />

force<br />

<br />

[mN]<br />

<br />

<br />

1 27 0.52 0.211 0.261 0.81<br />

2 23 0.52 0.269 0.221 1.22<br />

3 19 0.53 0.090 0.181 0.50<br />

4 15 0.54 0.106 0.141 0.75<br />

5 11 0.56 0.102 0.100 1.01<br />

6 7 0.60 0.082 0.060 1.37<br />

7 3 1.00 0.016 0.020 0.80<br />

The gravity force acting on the entire graphite mass is<br />

1.970mN. The numerical calculation of the magnetic<br />

force was conducted at the levitation height of the graphite<br />

mid-plane 1mm L, . Due to the high uncertainty<br />

about the magnets coercive force, two values of <br />

representative of its range of variability were considered.<br />

For 750 kA⁄ m, the total magnetic force results<br />

1.751mN, corresponding to the error with the gravity<br />

force of about 11.1%. For 900 kA⁄ m, the total<br />

magnetic force results 2.409mN, corresponding to<br />

the 22.3% error with the gravity force. Following the first<br />

approximation of linear interpolation, the actual value of<br />

coercive force for the current magnets is about 800 kA⁄<br />

m<br />

In conclusion, the force equilibrium is demonstrated by the<br />

discrete model, with relative small errors that are<br />

addressable to (a) the experimental error in the evaluation of<br />

levitation height, (b) the approximations introduced by the<br />

discretization, (c) the uncertainty about the material<br />

properties and the magnetization curve of the magnets.<br />

Further investigations will provide more detailed results in<br />

terms of resolution of the levitation height and material<br />

parameters evaluation; different configurations of the<br />

levitating system will be considered as well.<br />

Fig. 9. Experimental levitation height of the proof mass mid-plane in<br />

different configurations: N=1 (◦), N=2 (), N=3 ().<br />

The calculation of the magnetic force was conducted at<br />

VIII. CONCLUSIONS<br />

The numerical model developed and presented in this<br />

paper was used to calculate the magnetic force acting on a<br />

proof mass of diamagnetic material levitating on permanent<br />

magnets in the ‘opposite’ configuration. Starting from the<br />

magnetic field distribution obtained with a commercial<br />

FEM simulator, the nodal magnetic force on the proof mass<br />

was calculated at the vertical position corresponding to the<br />

experimental levitation height. The resulting magnetic force<br />

was compared to the gravity force acting on the proof mass<br />

101


11-13 <br />

May 2011, Aix-en-Provence, France<br />

and the vertical equilibrium was verified quite precisely.<br />

<br />

The relatively small error was attributed to the uncertainties<br />

about materials and measurements and to the<br />

approximations of the discretized model.<br />

REFERENCES<br />

[1] W.C. Tang, M.G. Lim, and R.T. Howe, “Electrostatic comb drive<br />

levitation and control method,” J. Microelectromech. S., vol. 1, pp.<br />

170-178, 1992.<br />

[2] S.W. Chyuan and Y.S. Liao, “Computational study of the effect of<br />

finger width and aspect ratios for the electrostatic levitating force<br />

of MEMS combdrive,” J. Microelectromech. S., vol. 14, pp. 305-<br />

312, 2005.<br />

[3] E.M. Yeatman, “Applications of MEMS in power sources and<br />

circuits,” J. Micromech. Microeng., vol. 17, pp. S184-S188, 2007.<br />

[4] G. De Pasquale, C. Siyambalapitiya, A. Somà, and J. Wang,<br />

“Performances improvement of MEMS sensors and energy<br />

scavengers by diamagnetic levitation,” proc. of ICEAA, Torino,<br />

Italy, pp. 465-468, 2009.<br />

[5] H. Chetouani, B. Delinchant, and G. Reyne, “Efficient modelling<br />

approach for optimization of a system based on passive<br />

diamagnetic levitation as a platform for bio-medical applications,”<br />

in Compel, vol. 26, Emeral Group <strong>Publishing</strong>, 2007, pp. 345-355.<br />

[6] F. Barrot, “Acceleration and inclination sensors based on magnetic<br />

levitation. Application in the particular case of structural health<br />

monitoring in civil engineering,” PhD thesis, EPFL, Lausanne,<br />

Switzerland, 2008.<br />

[7] C. Elbuken, M.B. Khamesee, and M. Yavuz, “Eddy current<br />

damping for magnetic levitation: downscaling from macro- to<br />

micro-levitation,” J. Phys. D: Appl. Phys., vol. 39, pp. 3932-3938,<br />

2006.<br />

[8] D. Garmire, H. Choo, R. Kant, S. Govindjee, C.H. Séquin, R.S.<br />

Muller, and J. Demmel, “Diamagnetically levitated MEMS<br />

accelerometers,” proc. of Transducers and Eurosensors, Lyon,<br />

France, pp. 1203-1206, 2007.<br />

[9] G. De Pasquale, C. Siyambalapitiya, S. Iamoni, and A. Somà,<br />

“Characterization of low-stiffness suspensions based on<br />

diamagnetic levitation for MEMS energy harvesters,” proc. Power<br />

MEMS, Leuven, Belgium, pp. 77-80, 2010.<br />

[10] H. Chetouani, V. Haguet, C. Jeandey, C. Pigot, A. Walther, N.M.<br />

Dempsey, F. Chatelain, B. Delinchant, and G. Reyne,<br />

“Diamagnetic levitation of beads and cells above permanent<br />

magnets,” proc. of Transducers and Eurosensors, Lyon, France,<br />

pp. 715-718, 2007.<br />

[11] M.K. Alqadi, H.M. Al-Khateeb, F.Y. Alzoubi, and N.Y. Ayoub,<br />

“Effects of magnet size and geometry on magnetic levitation<br />

force,” Chin. Phys. Lett., vol. 24 p. 2664, 2007.<br />

102


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Molecular Dynamic Simulation of Nanoparticle Size<br />

Effect on Melting Point of Gold<br />

P. Nayebi 1,2 , M.Shamshirsaz 2 , K. Mirabbaszadeh 1 , E. Zaminpeyma 1 , M.B. Asgari 3<br />

1 Physics Department, 2 New Technologies Research Center, Amirkabir University of Technology (Tehran Polytechnic),<br />

4 Niroo Research Institute<br />

4244 Hafez Ave., P.B. 15875-4413. Tehran, Iran<br />

E-mail: shamshir@aut.ac.ir<br />

Abstract- Molecular dynamics simulation of<br />

the crystallization<br />

behavior of liquid gold (Au) nanoparticles, with 1, 2, 3, 4, 5 and 6 nm<br />

in diameter, on cooling has been carried out based on the embeddedthe<br />

structure of the<br />

atom-method potential. It is demonstrated that<br />

fully crystallized particle is polycrystalline face-centered cubic<br />

(FCC). The FCC structure of the gold nanoparticle is proved<br />

energetically the most stable form. The final structure of<br />

nanoparticles are affected by cooling time and size of nanoparticles.<br />

Increasing the size of nanoparticles, the melting point of<br />

nanoparticles will be increased.<br />

Keywords: Molecular Dynamic Simulation, Melting point,<br />

Gold nanoparticle, Crystallization<br />

I. INTRODUCTION<br />

Atomistic simulation techniques such as molecular dynamics<br />

(MD) have become a powerful tool in the field of<br />

nanotechnology as they provide a physical insight in<br />

understanding various phenomena on atomic<br />

scale and enable<br />

one to predict some properties of nanomaterials. It is expected<br />

that atomistic simulation could gradually play a key role in<br />

complementing experiments in the field of nanomaterials,<br />

because the experimental exploration of nanomaterials will<br />

inevitably encounter many technical difficulties not to mention<br />

the cost problems.<br />

In the present paper we report the results of molecular<br />

dynamic simulations of the crystallization<br />

of molten gold<br />

nanoparticles with an embedded atom method for potential as<br />

used previously by the authors [1,2].<br />

II. SIMULATION MODEL<br />

Molecular dynamic simulations are effective tools for<br />

understanding the melting process of finite systems at the<br />

atomistic level. MD simulations weree performed on<br />

unsupported spherical face-centered cubic (fcc) gold particles,<br />

with 1,2,3,4,5 and 6nm in diameter, containing 6699 atoms for<br />

6nm-particle, 3925 atoms for 5nm-particle, 1985 atoms for<br />

4nm-particle, 887 atoms for 3nm-particle, 249 atoms for 2nm-<br />

verlet algorithm<br />

particle and 43 atoms for 1nm-particle. The<br />

for calculating the simulation parameters is applied. The<br />

particle was subjected to a periodic boundary condition in all<br />

directions at given temperatures<br />

under zero external pressure.<br />

The EAM potential is an inter-atomic potential developed by<br />

Daw and Baskes [3, 4] for metals. A simulation box with<br />

dimensions of 300* 300* 300A˚˚ is created; hence the periodic<br />

boundary condition will not affect particle size changing.<br />

Furthermore, this simulation box<br />

is very larger than the cut off<br />

radius of EAM potential. The particles are placed in the center<br />

of simulation box. Au nanoparticles are generated by cutting a<br />

sphere from bulk crystals in its<br />

equilibrium state[11]. As a<br />

sample, the 4nm-particle is employed in simulation with VMD<br />

software as shown in Fig. 1.<br />

Fig.1. A sphere of 4nm- Au nanoparticle, generated by cutting a sphere from<br />

bulk crystal<br />

As a first step, the MD run 50ps was performed with a time<br />

step of 1fs at 2000K in a canonical ensemble using the<br />

Langevin Temperature thermostat, which is slightly above the<br />

melting temperature of bulk Au, to melt the whole particle.<br />

Then, temperature was decreased to 50K with Langevin<br />

temperature thermostat. In the present study, the MD<br />

simulations were carried out with four different cooling times:<br />

50,170, 500 and 1000ps.<br />

III. RESULTS AND DISCUSSION<br />

In order to investigate what kind of Au structure can be<br />

observed just after solidification, the internal energy of<br />

particles is calculated. The variation of the internal energy of<br />

the 4nm-Au nanoparticle with temperature for different<br />

cooling time is presented in Fig. 2.<br />

103


Internal Energy(eV)<br />

-7100<br />

50 150 250 350 450 550 650 750 850<br />

-7150<br />

-7200<br />

-7250<br />

-7300<br />

500ps<br />

170ps<br />

-7350<br />

50ps<br />

-7400<br />

-7450<br />

1000ps<br />

Temprature(K)<br />

Fig. 2. Internal energy of 4nm nanoparticle versus temperature<br />

with different cooling time.<br />

All of the internal energies decrease similarly as the<br />

temperature decreases down to about 550- 600 K, regardless<br />

of the cooling time. However, the internal energies show a<br />

quite different feature below almost 500 K. For the cooling<br />

time of 50ps, the internal energy decreases almost linearly<br />

with decreasing temperature. While for the cooling time 1000<br />

ps and same temperature range, internal energy drops slower<br />

compare to 50 ps and 170ps cooling time. The final internal<br />

energies at 50K tends to decrease as cooling time decreases,<br />

indicating the different phase transformation routes<br />

experienced with temperature. Nanoparticle at cooling time<br />

1000ps are more stable, because it has smaller internal energy.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig. 4 shows the atomic arrangements of the 4nm gold<br />

nanoparticle at 50K cooled with a different cooling time. It<br />

can be seen that the particle cooled with 50ps has completely a<br />

random arrangement. Also, the particles cooled with 170 and<br />

500ps show a random arrangement, though the partial<br />

crystalline feature in the outer shell can be seen in Fig. 4(b, c).<br />

This is while the particles cooled at a rate of 1000ps have a<br />

more crystallized structure. Fig. 5 shows the variation of the<br />

internal energy of the Au nanoparticles with temperature<br />

during cooling time of 1000ps.<br />

However the whole of internal energy decreases, but it drops<br />

at different temperature. This shows that melting point of gold<br />

nanoparticles is changed with their sizes. The physical<br />

properties of nano-particles are expected to deviate from those<br />

of the bulk metal because of both on account of their vastly<br />

increased ratio of surface atoms to internal ones and of course<br />

as the result of their different electronic structure. The melting<br />

point of Au nanoparticles at cooling time of 1000ps for 1-6nm<br />

is demonstrated in Fig 6.<br />

(a)<br />

(b)<br />

Fig 3 shows the surface morphologies of 4nm gold<br />

nanoparticles at 50K and cooled with a different cooling time.<br />

The surface atoms of the particle cooled with 50ps exhibit a<br />

random arrangement, whereas those of the particles with<br />

1000ps show a crystalline feature.<br />

(c)<br />

Fig. 4. Atomic arrangement of 4nm Au nanoparticle with<br />

different cooling time: a) 50ps b) 170ps c) 500ps d) 1000ps<br />

(d)<br />

-3100<br />

50 150 250 350 450 550 650 750 850 950<br />

-3120<br />

-3140<br />

-3160<br />

(a)<br />

(b)<br />

-3180<br />

-3200<br />

-3220<br />

-3240<br />

-3260<br />

-3280<br />

-3300<br />

(c)<br />

(d)<br />

Fig. 3: Surface morphology of 4nm-Au with different cooling<br />

time: a) 50ps b) 170ps c) 500ps d) 1000ps<br />

Fig.5. Variation of the internal energy of the Au nanoparticles<br />

with temperature during cooling with the time of 1000ps.<br />

104


700<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Melting Point(K)<br />

650<br />

600<br />

550<br />

500<br />

450<br />

400<br />

350<br />

300<br />

1 2 3 4 5 6<br />

Nanoparticle Size(nm)<br />

Fig 6. Melting point of Au nanoparticles with a cooling time<br />

of 1000ps for 1-6nm<br />

In Fig 7, the melting points of Au nanoparticles encapsulated<br />

in silica obtained experimentally [12, 13] are compared with<br />

the simulation results obtained here for different particle size.<br />

Both of these results show a reduction of melting point with a<br />

decrease in size of gold nano-particles. As it can be seen,<br />

however the trends of curves are similar but there exist a<br />

deviation of simulation results from experimental data for the<br />

melting point of each range of nanoparticles sizes. As the<br />

example, the melting point of Au particles with the 5 nm size<br />

range (~Au3600) is found by simulation about 830°K in<br />

literature while for the almost same size nanoparticles<br />

(~Au3925), a melting temperature of about 600K is calculated<br />

here. This difference in melting temperature can be due to the<br />

different number of atoms used in MD. Also, the nanoparticles<br />

of 2 nm size (about Au200) and by extrapolation the<br />

nanoparticles of 1 nm size (~Au30) ,become liquid at 550°K<br />

and 430 °K respectively in literature while in this study, it is<br />

found a melting temperature of about 500K for 2nm size<br />

(~Au249) and 400K for 1nm size (~Au43) particles.<br />

Fig 7. Melting point of Au nanoparticles versus particle<br />

diameter obtained by a) MD simulation b) experimental data<br />

in literature [12,13]<br />

IV. CONCLUSIONS<br />

Molecular dynamic simulation of the crystallization<br />

behavior of a liquid gold (Au) nanoparticle, with 1, 2, 3 and 4<br />

nm in diameter, on cooling has been carried out.<br />

It has been found that the final structure of a gold nanoparticle<br />

strongly depends on cooling time during crystallization from<br />

liquid. The increase of cooling time from 50ps up to 1000ps<br />

makes the structure of nanoparticle closer to FCC structure.<br />

As size of particle increases from 1nm to 4nm, the final<br />

structure of the particle tends more to a crystalline structure.<br />

The internal energies of the particles for cooling time of<br />

1000ps drop slower comparing to those related to 50ps and<br />

170 cooling time. This means that the atoms in the outer shell<br />

tend faster to become a crystalline structure.<br />

The crystallization of the surface atoms has a greater<br />

contribution to the decrease in internal energy comparing to<br />

the core crystallization. It is confirmed that the FCC structure<br />

is energetically the most stable form for a gold nanoparticle.<br />

The internal energy of nanoparticle at cooling time of 1000ps<br />

is smaller than the other cooling time rate simulated in this<br />

work. Increasing the size of nanoparticles, the melting point of<br />

nanoparticles will be increased.<br />

REFERENCES<br />

[1] K.Mirabbaszadeh,E. Zaminpeyma, P. Nayebi,J Cluster Sci<br />

(2008)19,623-629<br />

[2] K. Mirabbaszadeh, P.Nayebi, E. Zamipeyma, J Cluster Sci<br />

(2008)19,661-670<br />

[3] M.S. Daw, M.I. Baskes, Semiempirical, quantum<br />

mechanical calculation of hydrogen embrittlement in metals,<br />

Phys. Rev. Lett. 50 (1983) 1285–1288.<br />

[4] M.S. Daw, M.I. Baskes, Embedded-atom method:<br />

derivation and application to impurities, surfaces, and other<br />

defects in metals, Phys. Rev. B 29(1984) 6443–6453.<br />

[5] M.S. Daw, M.I. Baskes, Phys. Rev. B 29 (1984) 6443.<br />

[6] S.M. Foiles, M.I. Baskes, M.S. Daw, Phys. Rev. B 33<br />

(1986) 7983.<br />

[7] A.E. Carlsson, in: H. Ehrenreich, D. Turnbull (Eds.), Solid<br />

State Phys., vol. 43, Academic Press, New York, 1990.<br />

[8] M.S. Daw, S.M. Foiles, M.I. Baskes, Mater. Sci. Rep. 9<br />

(1993) 251.<br />

[9] C.L. Cleveland, U. Landman, T.G. Schaaff, M.N.<br />

Shafigullin, P.W. Stephens, R.L. Whetten, Phys. Rev. Lett. 79<br />

(1997) 1873;M.D. Wolf, U. Landman, J. Phys. Chem. A 102<br />

(1998) 6129.<br />

[10] J.W.M. Frenken, P. Stolze, Phys. Rev. Lett. 82 (1999)<br />

3500.<br />

[11] M.S. Daw, M.I. Baskes, Phys. Rev. Lett. 50 (1983)1285.<br />

[12] H.B. Liu, J.A. Ascencio, M. Perez-Alvarez, and M.J.<br />

Yacaman: Surface Science, 2001, vol.491,pp.88-98.<br />

[13] K. Dick, T. Dhanasekaran, Z. Xhang, and D. Meisel: J.<br />

Am. Chem. Soc, 2002, vol.124(10),pp.2312-2317<br />

105


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Stress Identification of Thin Membrane Structures by<br />

Dynamic Measurements<br />

Steffen Michael 1 , Christoph Schäffel 1 , Sebastian Voigt 2 , Roy Knechtel 3<br />

1 IMMS GmbH, Ehrenbergstr. 27, 98693 Ilmenau, Germany<br />

2 TU Chemnitz, Chair in Microsystems and Precision Engineering, Reichenhainer Str. 70, 09107 Chemnitz, Germany<br />

3 X-FAB Semiconductor Foundries AG, Haarbergstr. 67, 99097 Erfurt, Germany<br />

A fast identification method of membrane stresses is<br />

investigated for an early stage of the manufacturing process.<br />

The approach consists of performing optical measurement of<br />

the out-of-plane modal responses of the membrane. This<br />

information is used in an inverse identification algorithm<br />

based on a FE model by an optimization.<br />

I. INTRODUCTION<br />

The development of the two criteria costs and reliability<br />

is essential for the further growth of the MEMS market like<br />

microphones. Efficient test procedures on wafer level can<br />

reduce costs significantly by the detection of faulty sensors<br />

before the subsequent packaging and assembly steps. The<br />

presented method deals with an approach for a fast and<br />

accurate stress identification of thin membranes by using<br />

the sensitivity of their modal frequencies versus the stress.<br />

MEMS devices usually do not permit direct parameter<br />

measurement of mechanical parameters. The indirect<br />

parameter identification by modal frequencies was first<br />

presented in [1], [2]. Up to now the approach is used mostly<br />

for the identification of geometrical parameters like<br />

membrane thicknesses [3], [4]. In this case the approach<br />

competes against other methods like optical ones. In<br />

contrast the method has a unique feature with regard to the<br />

identification of tensile stressed membranes like<br />

microphones – another non-destructive method on wafer<br />

level is not known.<br />

Perforated circular SiN membranes with a thickness of<br />

300 nm and a diameter of 1000 µm are investigated. The<br />

perforation is required by the technology – the membrane<br />

structure is deposited on a sacrificial layer which is<br />

removed at the end of the processing through the<br />

perforation holes. The formed cavity with a height of 1µm<br />

causes a squeeze film damping in conjunction with an<br />

absent resonance rice under ambient atmosphere.<br />

Correspondingly the measurements are done in a vacuum<br />

prober.<br />

II. HARDWARE SETUP<br />

The measurement setup consists on a vacuum probe<br />

station from Cascade and a laser Doppler vibrometer<br />

integrated in the Micro System Analyzer MSA500 from<br />

Polytec. The laser beam of the vibrometer scans<br />

automatically over a user defined grid at the surface of the<br />

membrane.<br />

Fig. 1: Measurement setup<br />

The vibration of passive devices like the membrane<br />

structures is realized by electrostatic forces. A probe needle<br />

is connected to a high voltage (up to 400V) excitation signal<br />

controlled by a chirp signal of the measurement system. The<br />

needle is positioned above the device surface. With respect<br />

to a high excitation force the gap between the needle and<br />

the membrane is smaller than 100µm. The setup permits the<br />

excitation of modal frequencies up to 4MHz.<br />

III. IDENTIFICATION ALGORITHM<br />

The approach can be subdivided into three different<br />

phases. First of all a sensitivity analysis has to be done to<br />

check whether the modal frequencies are sensitive versus<br />

the interesting parameters. In case of a multidimensional<br />

problem the orthogonality of the parameter space has to be<br />

tested furthermore.<br />

Following to the sensitivity analysis a characterization<br />

phase is done. Frequency response functions (FRF) are<br />

measured with a fine grid of measurement points to check<br />

the mode shapes and adapt the finite element (FE) model if<br />

needed.<br />

The results shown here refer to measurement data of the<br />

characterization phase. In case of testing complete wafers<br />

the measurement time should be minimized. The<br />

measurement time depends proportional on the number of<br />

measurement points. The identification approach is based<br />

on frequency values which permits the reduction of<br />

measurement points to one.<br />

106


o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

Check applicability<br />

Analytic / FE- modelling<br />

Check sensitivity<br />

Check orthogonality<br />

Development of test structures<br />

Characterization<br />

Fine grid of measurement points<br />

Selection of frequency modes for<br />

identification<br />

Parametet identification & validation<br />

Adaption of FE model<br />

Wafer-Test<br />

Fig. 2: Phases of the parameter identification<br />

The measurement time of a one point measurement is 2<br />

seconds. The measurement respectively software system is<br />

not yet optimized, the lower measurement time limit given<br />

by physics is about 200 milliseconds.<br />

Precondition for the identification is on one hand the<br />

measurement unit which delivers a FRF, and the simulation<br />

unit with a parameter matrix as result on the other hand. The<br />

automatic identification is done by a tool implemented in<br />

C++ with respect to a fast data processing. The<br />

identification tool can be structured into three submodules.<br />

The frequency values has to be extracted from the measured<br />

FRF which is done in one submodule, and the parameter<br />

matrix is approximated by usually polynomials in another<br />

submodule due to a fast and efficient data handling. Based<br />

on an user defined accuracy (default value 0.1%) the degree<br />

of the polynomial is selected by the program.<br />

Finally the optimization respectively identification is<br />

realized by the nonlinear least square method.<br />

Measurement<br />

system<br />

Frequency<br />

response<br />

Peak detection<br />

Identification tool<br />

Optimization<br />

FE-Simulation<br />

Polynomial<br />

approximation<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

first step a conventional algorithm searches for local maxima<br />

considering the estimated signal-to-noise ratio (SNR). At the<br />

peaks found, starting values for a nonlinear least square fit to<br />

the Lorentzian function<br />

Parameter<br />

matrix<br />

i<br />

2<br />

, ih<br />

LfL<br />

, ip 2 2<br />

,<br />

)(<br />

+−<br />

, i hi<br />

)(<br />

f<br />

= (1)<br />

fff<br />

with the peak amplitude L p,i , the peak frequency f p,i and the<br />

half-width f h,i. of the ith peak are estimated. The iterative<br />

fitting procedure based on Levenberg-Marquardt algorithm<br />

eliminates wrongly preselected peaks and delivers the peak<br />

parameter including the quality factor.<br />

A. FE Modeling and Simulation<br />

The FE model which delivers the parameter matrices is<br />

implemented in Ansys. The ratio thickness to lateral<br />

dimension of the membrane leads to a modeling by twodimensional<br />

shell elements. The default mesh of the<br />

membrane perforated by several thousand holes will be<br />

irregular. To prevent such an inefficient irregular mesh<br />

substructures are generated. Square areas with a centered<br />

hole permit a regular meshing.<br />

Fig. 4: FE modell with prestructured membrane elements<br />

A prestressed modal analysis as well as a prestressed<br />

harmonic analysis is performed. The multitude of small<br />

structures causes a large number of finite elements<br />

respectively nodes. With regard to the measurement time the<br />

membrane symmetry is used by the calculation of a quarter<br />

model. Symmetric boundary conditions are applied to the<br />

static analysis. The modal analysis is executed with three<br />

load steps with different symmetry conditions at the x and y<br />

axes (symmetric/symmetric, asymmetric/symm. and<br />

asym./asym.) to deliver all modal frequencies .<br />

For the modeling of the squeeze film damping the<br />

corresponding element types of Ansys are used. The macro<br />

RMFLVEC.MAC which extracts the damping parameters<br />

from the modal frequencies is adapted to the quarter model<br />

with the multiple loadsteps.<br />

Sensor parameter<br />

Fig. 3: Structure of the parameter identification<br />

From the measured FRF, the peak frequency values are<br />

extracted automatically by a two level algorithm. Within a<br />

a) f 11 b) f 12<br />

Fig. 5: Simulated modal frequencies<br />

107


IV.<br />

A. Simulation and Sensitivity Analisys<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

STRESS IDENTIFICATION<br />

With respect to the identification phases a sensitivity<br />

analysis is done for the membrane structure. Parameters<br />

which have to be considered beside the interested ones are<br />

parameters with relevant tolerance ranges. The membrane<br />

thickness is such a parameter – due to technological reasons<br />

the thickness varies within a range of ±5%.<br />

(∂ f 1<br />

/∂ h) ∆ h/f 1<br />

[%]<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

f 1<br />

[kHz]<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

0.42<br />

Fig. 6: First modal frequency versus membrane thickness and stress<br />

As is apparent from Fig. 6 which show the results of the<br />

two dimensional parameter simulation for the first modal<br />

frequency the most sensitive parameter is the stress. An<br />

approximation of the functional dependency is done with<br />

regard to a quantitative analysis. The default expansion is a<br />

polynomial one. In this case rational functions are used for<br />

the stress motivated by the plate theory [5] on the one hand<br />

and the curve characteristic of Fig. 6 on the other hand The<br />

frequency mode f i,j is given by<br />

with the membrane thickness h and the stress s.<br />

Based on partial derivatives of the approximated course<br />

of the function the sensitivity of the modal frequencies<br />

versus the parameters is determined. Fig. 7 shows the<br />

sensitivity normed on the maximum thickness variation of<br />

5%. In case of a tensile stressed membrane the varying<br />

thickness can be neglected – a relevant sensitivity of the<br />

modal frequencies versus the thickness is given only in case<br />

of a stress-free or compressive stressed membrane.<br />

0<br />

0 2 4 6 8 10 12 14 16 18 20<br />

s [MPa]<br />

Fig. 7: Normed sensitivity of the first modal frequency versus membrane<br />

thickness<br />

B. Measurement Results<br />

Measurements are done at three different wafers at a<br />

pressure range between 0.005 mbar and 0.1 mbar. The<br />

pressure range is determined by the resonance rice on one<br />

hand and a minimal peak width to be detectable by the FFT<br />

on the other hand. The measured quality factors show a<br />

good accordance with the simulated ones given by the<br />

harmonic analysis of the FE model.<br />

0.41<br />

20<br />

0.4<br />

15<br />

10<br />

0.39<br />

5<br />

z [µm] 0.38 0<br />

s [MPa]<br />

10 5 measurement data<br />

simulated data<br />

10 4<br />

10 3<br />

2/1<br />

ji 1,<br />

2<br />

++=<br />

3<br />

),(),(),(<br />

sjipsjipjip f<br />

3/1<br />

+<br />

4<br />

5<br />

6<br />

⋅++<br />

),( shjiphjip sjip 10 2<br />

(2)<br />

10 -5 10 -4 10 -3 10 -2 10 -1 10 0<br />

2/1<br />

3/1<br />

p [mbar]<br />

7<br />

8<br />

),(<br />

⋅+⋅+<br />

shjipshjip<br />

Q-factor<br />

Fig. 8: Q-factor versus ambiance pressure<br />

The first three modal frequencies are used for the<br />

identification of the membrane stress. Mode shapes are<br />

investigated at some samples to guarantee the right<br />

classification of the frequency peaks to the corresponding<br />

modes.<br />

Fig. 9: Measured mode shape f 1,1<br />

108


C. Identification Results<br />

The identified tensile stresses at 36 measured dies vary<br />

between 24MPa and 81MPa due to their different position at<br />

the test wafers.<br />

TABLE 1<br />

IDENTIFIED STRESS OF MEMBRANE SAMPLES<br />

f 1,1<br />

[kHz]<br />

f 1,2<br />

[kHz]<br />

f 2,2<br />

[kHz]<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

s r [MPa]<br />

55.2 88.1 117,3 28.75 ± 0.33<br />

60.9 97.2 130.5 34.99 ± 0.16<br />

69.9 109.7 147.1 45.57 ± 0.98<br />

69.1 110.2 148.0 45.50 ± 0.10<br />

[4] Michael, S. at al, “MEMS parameter identification on wafer level<br />

using laser Doppler vibrometry”, Smart Systems Integration 2007,<br />

Editor T.Gessner, VDE Verlag, 2007, pp. 321-328<br />

[5] Dickinson, S.M., “The Buckling and Frequency of Flexural<br />

Vibration of Rectangular Isotropic and Orthotropic Plates Using<br />

Raleigh’s Method”, Journal of Sound and Vibration, 1978, 61(1),<br />

pp. 1-8<br />

The identification is based on the first three modal<br />

frequencies which results in an over-determined problem<br />

which permits a quantitative evaluation of the identification<br />

results. Theoretically the stress values should be identically;<br />

practically measurement and modeling errors will cause<br />

different values. The particular stress values differ within a<br />

range of 2% which shows a good model quality.<br />

90<br />

80<br />

Wafer 1<br />

Wafer 2<br />

Wafer 3<br />

70<br />

s r<br />

[MPa]<br />

60<br />

50<br />

40<br />

30<br />

20<br />

0 20 40 60 80 100<br />

Die index<br />

Fig. 10: Identified stress at test wafers across the x axes<br />

V. CONCLUSION<br />

We have presented an approach for the fast and accurate<br />

stress identification of thin membranes which the uses the<br />

sensitivity of their modal frequencies versus stress. The<br />

approach is well suited for an efficient process control of<br />

stress sensitive membranes like microphones on wafer level.<br />

REFERENCES<br />

[1] Smith, N.F. et al, “Non-Destructive Resonant Frequency<br />

Measurement on MEMS Actuators”, 39 th Annual International<br />

Reliability Physics Symposium, Orlando, FL, USA, 2001,<br />

Proceedings, pp. 99-105<br />

[2] Tanner, D.M. et al: “Resonant frequency method for monitoring<br />

MEMS fabrication”, Reliability, Testing and Characterization of<br />

MEMS/MOEMS II, San Jose, CA, USA, 2003, Proceedings, pp.<br />

220-228<br />

[3] Gerbach, R. et al: „Numerical Identification of Geometric<br />

Parameters from Dynamic Measurement of Grinded Membranes<br />

on Wafer Level”, 7 th Conf. on Thermal, Mec.l and Multiphysics<br />

Simulation and Experiments in Micro-Electronics and Micro-<br />

Systems EuroSimE, Como, Italy, 2006, Proceedings, pp. 223-228<br />

109


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Meso-Scale Actuator Design For The Integrated Dynamic<br />

Alignment Of A Lenslet Array Within a Package<br />

Stefan Wilhelm, Robert W. Kay, Marc P.Y. Desmulliez<br />

Microsystems Engineering Centre (MISEC),<br />

Institute for Integrated Systems (IIS),<br />

School of Engineering and Physical Sciences, Heriot-Watt University,<br />

Edinburgh EH14 4AS, Scotland, United Kingdom<br />

Tel: +44 (0)131-451-8316<br />

Keywords- LTCC, actuator, packaging, optical lenses<br />

Abstract- This paper describes the design of an LTCCprocess<br />

compatible meso-scale actuator for the six degrees of<br />

freedom dynamic adjustment of micro-optical components, in<br />

particular the alignment of a microlens array on top of a UV-<br />

LED array. The lens array is specified to have an active area of<br />

3mm x 3mm, the GaN array is 5mm x 5mm x 450!m. The focal<br />

length is 65!m. The actuator must enable the collimation or<br />

the focusing of the optical beams emanating from the LED array.<br />

INTRODUCTION<br />

A great variety of micro-devices encompasses multiple<br />

interacting electronic, electro-mechanical, electrochemical<br />

or optoelectronic components that require to be aligned statically<br />

or dynamically (real-time). Static alignment can be<br />

achieved with the help of high precision pick-and-place machines<br />

with control feedback combined with a bonding process,<br />

such as U.V. curable glue or flip-chip bonding using<br />

reflowed solder balls. There are however instances where an<br />

alignment has to be performed after the sealing of the package.<br />

In such cases, structures with temporary actuation functionalities<br />

designed within the micro-devices can be exposed<br />

to external fields, providing thereby precise positioning<br />

with the help of external or temporary internal feedback.<br />

Dynamic alignment requires the manufacturing of permanent<br />

actuators within the device and must fit the requirements<br />

for power consumption, response time, force, deflection<br />

range and long term reliability. Conventionally, the<br />

function of the package is to provide electrical interconnection,<br />

heat transfer and protection against mechanical, electromagnetic<br />

and chemical influences. The additional ability<br />

of the package to provide actuation and feedback elements<br />

for aligning statically or dynamically opens up interesting<br />

opportunities for new applications such as the microscope<br />

on a chip, and greater ease of packaging by relaxing positioning<br />

tolerances at the assembly stage. This paper aims to<br />

offer an example of such a meso-sale actuation for optoelectronic<br />

application using Low Temperature Cofired Ceramics<br />

(LTCC). In that respect, a MEMS post process based solution<br />

for the alignment of the microlens array has already<br />

been reported in [1].<br />

LTCC is an established multi-layer-process, which enables<br />

to integrate electrical, fluidic or optical interconnections<br />

and passive circuit components together with mechanical<br />

structures in one solid ceramic body. Applications include<br />

electrical packaging, RF-systems, micro-fluidics [2],<br />

sensors [3] and actuators [4]. The 3D laminated device is<br />

composed of paper-thin flexible sheets consisting of alumina,<br />

glass and organic binders [5]. These so-called green<br />

sheets can encompass layer-interconnection vias, cavities<br />

and flexures, whose patterning can be performed using laser-machining,<br />

powder blasting [6], punching and embossing<br />

[7]. Metal tracks, resistors, solder masks, sacrificial inlays,<br />

high-! materials and magnetic components like ferrite<br />

[8] can be applied using thick-film screen-printing. After<br />

being separately processed, the layers are laminated and cofired<br />

into a single body at temperatures of approximately<br />

900ºC.<br />

The variety of applicable materials and the standardized<br />

process make LTCC a preferred candidate for a meso-scale<br />

actuator. The challenge is to devise a low-cost LTCCprocess<br />

compatible design, which compensates for the accuracy<br />

limits of the process whilst satisfying the requirements<br />

of maximum stroke of 10!m for the application envisaged.<br />

DESIGN OF THE PACKAGE ACTUATOR<br />

Six degrees of freedom actuation of the optical system requires<br />

the generation of translational forces and momentums<br />

for three linear independent axes. In macro manipulators,<br />

this is often realized by cascading independent polar<br />

and linear axes. As complex three-dimensional structures<br />

increase significantly the complexity of the LTCC manufacturing<br />

process, actuation elements and restoring force elements<br />

were selected, which can be placed “in plane” by<br />

structuring single layers using screen and stencil printing.<br />

Hence, the device requires planar actuation elements that<br />

generate lateral and vertical forces. The optical system can<br />

be rotated and tilted by generating these forces at a specified<br />

distance of the rotation/tilt centre point.<br />

110


The proposed solution for this problem consists of three<br />

functional LTCC layers and two sacrificial layers. The vertical<br />

and lateral forces are generated using electrostatic and<br />

magnetic actuation, respectively. This concept allows the<br />

integration of the actuator in a conventional LTCC circuit.<br />

Additional process steps include via filling, metallization,<br />

screen printing of sacrificial material and mechanical structuring.<br />

For the manufacturing of the prototype, the self constrained<br />

(“zero shrinkage”) system HeraLock HL2000<br />

(91!m cofired thickness) was selected. The appropriate<br />

metallization pastes are TC0302 for tracks and TC0303 for<br />

vias. The sacrificial material PST-CARB-SP is based on<br />

nano carbon particles and produced by the company Thick<br />

Film Technologies TM .<br />

LTCC layers, structured elements, metallization and sacrificial<br />

layers were implemented as parametrical components<br />

(“sheet parts”) with the 3D CAD software Inventor.<br />

This software provides useful features for parametric designing,<br />

assembly collision checks, as well as file formats<br />

that can be imported by CAM systems. Laser manufacturing<br />

files, powder-blasting masks, via stencil and screen design<br />

are automatically updated when model parameters change.<br />

A. Functional design overview<br />

The actuated part (“rotor”) of the meso-scale device is a<br />

hexagonal area layer in the centre of the device, which is<br />

mechanically structured and double side printed with metallization<br />

as shown in figure 1. The actuator contains a cutout<br />

for the insertion of the optical system, two 80º buckled<br />

beam flexures to provide the restoring forces and electrical<br />

connections to the bulk of the device (“stator”), and three<br />

120º shifted actuator units, each consisting of two planar<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

coil pairs.<br />

For the generation of the lateral forces, the six coil pairs<br />

create a magnetic field in response to a current passing<br />

through the windings. The underside and the topside coils<br />

are connected in the centre of each coil using vias, and with<br />

the outer bulk using the topside and underside metallization<br />

of the flexures. The bottom side coils are mirrored, so that<br />

driving currents generate collinear Lorentz-forces when an<br />

external magnetic field is applied as shown in figure 2. The<br />

external stator fields are provided by nickel-plated neodymium<br />

magnet pairs (each magnet 5mm x 1.5mm x 1mm, 1.3<br />

T), which are placed after the cofiring step above and below<br />

the coils. As each actuation unit includes two 90º shifted<br />

coils, the geometric sum of the force vectors of all independent<br />

driven coils enable to generate the lateral forces in<br />

x and y, as well as a rotation around the z-axis.<br />

The vertical electrostatic actuation is generated with the<br />

surfaces of the twelve coils. The top and bottom counter<br />

electrodes are placed at a distance of 25!m form the coils.<br />

Carbon sacrificial material is situated between the electrode<br />

layer and the centre layer to provide the gap. To increase the<br />

magnitude of the forces, the coils surfaces have been extended<br />

by filling the inner areas and attaching rectangular<br />

areas at the outer winding tracks. The combination of all independent<br />

pull forces enables deflections in the z-direction<br />

and tilt around the x- and y-axes.<br />

B. Combined actuator principle<br />

According to the dimensions of the microlens array, the<br />

device has to move a load of 248!N (volume density 2.25<br />

g/cm 3 ) in addition to the actuator mass. The applied actuation<br />

methods were chosen out through the careful study of<br />

Fig. 1: Top view (x-y) of centre of the double sided metalized layer. Three<br />

combined electrostatic/magnetic actuation units enable the creation of<br />

lateral and vertical forces. 80º buckled flexures connect the coils with the<br />

bulk vias. The mechanical structuring includes fiducials for the screen<br />

printing process, lamination alignment holes and cut-outs to provide access<br />

to the solder pads on the bottom side layer.<br />

Fig. 1: 3D visualization of the functional elements: The LTCC material at<br />

the centre of the layer has been rendered transparent for clarity. The copper<br />

layer copper is shown in brown. The metallization of the top and bottom<br />

electrode layers are marked in yellow, and the permanent magnet pairs<br />

orange. The LTCC layers of the topside/underside electrodes, as well as the<br />

LTCC bulk, cannot be seen in this picture.<br />

111


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig. 3: Actuation principle<br />

the electrostatics, magnetics, [9; 10], magnetostriction [11],<br />

piezoelectricity [12-14] and thermal actuation. The mesoscaled<br />

dimensions have the required surface-to-air gap ratio<br />

to enable moderate electrostatic pull forces and allow the<br />

use of permanent magnets with sufficient field densities to<br />

compensate for the poor scaling of magnetic forces in<br />

MEMS (scaling L 2 [10], compared to L 4 for pure electromagnetic<br />

actuation, where L is the critical dimension). Both<br />

forces are contact-less and allow in reverse capacitive/inductive<br />

feedback measurements using frequencies<br />

much higher than the resonant frequency of the seismic<br />

mass. An approach of combining electrostatic and magnetic<br />

actuation is reported in [15].<br />

Neglecting fringing field effects, the pull force, F z , of one<br />

extended coil (active area 4.1mm x 5mm, gap 25!m) can be<br />

derived from the expression of the potential energy U stored<br />

in a capacitor with the surface A, the gap d, the total charge<br />

Q, the permittivity " 0 " r and the applied voltage V using<br />

equations (1-2).<br />

U =<br />

∫ Q<br />

0<br />

∫ Q<br />

q<br />

V dq =<br />

0 C dq = 1 Q 2<br />

2 C = 1 2 CV 2 = 1 ɛ 0 ɛ r A<br />

V 2 (1)<br />

2 d<br />

The pull force is obtained from the partial derivative in z.<br />

F z = − ∂U<br />

∂z = ɛ 0ɛ r A V 2<br />

2 d 2<br />

A voltage of 200V would generate a pull force of 5.8mN<br />

(deflection=0) for one coil. The maximum applicable voltage<br />

can be obtained from absolute minimum of the Paschen<br />

curve, which describes the breakdown voltage between two<br />

conductors as function of the product of pressure and electrode<br />

distance [16; 17]. According to [18], the curve minimum<br />

for air yields a breakdown voltage of 315V. Hence, a<br />

maximum voltage of 200V can be considered to be safe for<br />

all deflections.<br />

Considering [19] and neglecting the stator field distortions<br />

from the comparatively small rotor fields, the lateral<br />

forces can be calculated from the flux density of the permanent<br />

magnets in the air gap and the current through the six-<br />

(2)<br />

Fig. 4a: y-z cross-section view of the magnetic flux density (B) generated<br />

by the four permanent magnets (5mm x 1.5mm x 1mm, 1.3 T) using the<br />

simulation software package COMSOL TM . The graphic shows a highly<br />

homogeneous field between the magnet pairs in the areas A and B, where<br />

the windings of the coils are placed.<br />

Fig. 4b: Z-component of the magnetic flux density of four permanent magnets<br />

along the x-y plane, determined by a 3D magnetostatic simulation<br />

using the software package COMSOL TM .<br />

112


teen wire segments of a coil, as in (3).<br />

∮<br />

⃗F = I<br />

d ⃗ l × ⃗ B = I( ⃗ L × ⃗ B) (3)<br />

The stator field was obtained from a 3D magnetostatic<br />

simulation from the software package COMSOL TM , as<br />

shown in figure 4. The simulation results were rastered and<br />

imported in Matlab. The mean value of the flux density in<br />

the range from minimum to maximum deflection yielded<br />

value of 0.9T in the z-direction. A driving current of 100mA<br />

would generate therefore a lateral force of 7.2mN.<br />

As depicted in the simplified actuation principle in figure<br />

3, every coil actuation element requires one current source<br />

and two voltage sources. For analogue sources, a floating<br />

potential in the coil has to be taken in to account, which depends<br />

on the driving current. From the sheet resistance of<br />

the TC0302 metallization (< 2m#/sq) and the conductor<br />

geometry of the coils and connections, the total track resistance<br />

is calculated to be 0.71#. Assuming a maximum<br />

current of 100mA, a maximum voltage shift of 71mV is obtained.<br />

As the electrode voltages are above 25V, this shift is<br />

assumed to be negligible. The power consumption is estimated<br />

at 7.1mW.<br />

C. Restoring forces<br />

The restoring forces are generated using single-beam<br />

flexures in the x-y plane because this method enables a feasible<br />

way to interconnect the coils and guarantees a defined<br />

zero-deflection position. Furthermore, the spring forces,<br />

partially compensate for the quadratic dependence of the<br />

pull forces of the electrostatic actuator with respect to the<br />

deflection.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

The mechanical properties of the co-fired LTCC were obtained<br />

from the manufacturers’ data sheets. For the HL2000<br />

ceramic, the flexural strength is specified above 200MPa.<br />

The Young’s modulus, derived from DuPont 941, is assumed<br />

to be 120GPa. Tests with laser-structured doublebeams<br />

(length 12mm, width 120!m / 80!m, height 120!m)<br />

have shown that the flexures break at a deflection between<br />

1.5mm and 2mm, which leads according to the strain/stress<br />

curve of a beam with rectangular cross section to a force between<br />

11mN and 15mN and stresses between 227MPa and<br />

312MPa. Hence, the data determined by the manufacturer<br />

using four-point measurements apply to the aspect ratio of<br />

flexures, and a static maximum actuator stroke of 10!m can<br />

be calculated to result in a stress of 15.5MPa for a vertical<br />

point load. Figure 5 illustrates a flexure of one of the test<br />

structures (80!m sample), which is the smallest LTCC<br />

structure that could be manufactured with the laser.<br />

As described in [5], the strain-stress curve of LTCC<br />

shows nonlinear and strain-speed dependent characteristics.<br />

Thus, in contrast to metals, only small deflections allow the<br />

definition of a spring constant. Hence, the design was configured<br />

to provide the longest possible beams while retaining<br />

the 120º point symmetry of the device. This caused implicitly<br />

a modification of the angle between the two beams<br />

from 90º to 80º, as the via interconnections are fixed. The<br />

spring constant for a single ended beam with the dimensions<br />

l " b " h can be approximated using unified beam theory<br />

[20]:<br />

, k y = E hb3<br />

4 l 3 (4)<br />

Where k z is vertical spring constant, k y the lateral spring<br />

constant and E the elastic modulus. For the inner beams<br />

Fig. 5: Laser cut LTCC beam flexure used for stress test.<br />

Fig. 6: Prototype magnet layers made of acrylic.<br />

113


(2mm " 120!m " 120!m), k z and k y are calculated as 0.78<br />

N/mm, for the outer beams (3.7mm " 120!m " 120!m)<br />

0.12 N/mm. The springs are parallel in z direction providing<br />

a combined spring constant k z for each buckled beam flexure<br />

of 0.10N/mm. For lateral deflections, the direction of<br />

the applied force is relevant. The evaluation of the force<br />

generation model in that direction exceeds the scope of this<br />

article.<br />

D. Manufacturing considerations and feasibility tests<br />

To manufacture the prototype of the integrated actuator,<br />

the Heriot Watt University supports facilities, which include<br />

an automated screen printer with camera alignment (model<br />

DEK Horizon 265), an isostatic press (model KEKO ILS-4),<br />

a cofiring oven (model Nabertherm 30º-3000º), a CO 2 laser<br />

cutter (EPILOG Mini 18"12, 10.6!m wavelength) and a<br />

self-built powder blaster. The design has to comply with<br />

these capabilities and support rapid prototyping methods.<br />

To focus the manufacturing process on the functional layers,<br />

the layers containing the magnets are reusable acrylic<br />

frames, as depicted in figure 6. Via filling and sacrificial<br />

material filling is processed as manual stencil coating step<br />

using laser cut Mylar TM , which is delivered with the unblanked<br />

green sheet as support. The emulsion screen is the<br />

only externally manufactured mask.<br />

The device concept contains two critical aspects that have<br />

to be carefully taken into account, firstly, the structural integrity<br />

and position of the comparatively large actuated area,<br />

including the beam flexures, during the whole manufacturing<br />

process. To prevent deformations and displacements,<br />

the gaps have to be filled with sacrificial material. The<br />

green sheet is in contact with the stencil during automated<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

printing process (and manual stencil filling step for the prototype),<br />

so that the raising stencil causes a contact vacuum<br />

after the print is finished. Thus, mechanical structuring all<br />

features at once would damage the structure. To prevent<br />

this, structuring and printing has to be split in two process<br />

steps, so that the mobile parts remain fixed.<br />

A second manufacturing issue is the accurate top and bottom<br />

metallization of the flexures. The standard LTCC process<br />

printing accuracy depends on the thixotropic viscosity<br />

of the metallization, the metal particle size, the mesh density<br />

and thread diameter of the screen, as well as the alignment<br />

accuracy and configuration of the screen printer. The<br />

used screen printer is specified to guarantee 40!m alignment<br />

accuracy for appropriate fiducial qualities. The internal<br />

c p /c pk statistics show that the machine repeatability is<br />

25!m. The metallization paste is specified to support line<br />

widths of 100!m. Hence, the dimensions of the coils and<br />

counter electrodes are adapted to the size of the magnet<br />

pairs in order to prevent fringing effects in the actuator elements.<br />

This tolerance is configured to be twice the maximum<br />

assumed alignment error (40!m).<br />

Alignment and minimum printing feature size is especially<br />

crucial for the metallization of the flexures if the screen<br />

printing process step follows after the mechanical structuring<br />

and gap filling. The beam widths of 120!m have to be<br />

completely covered to prevent an increased electrical resistance<br />

whilst preventing overprinting to exclude the risk<br />

of short circuits between top and bottom side. To solve this<br />

problem, the mechanical structuring is performed after the<br />

metallization printing process, where the track widths are<br />

increased by twice the maximum alignment error. Thus, the<br />

structuring process removes the LTCC material including<br />

the metallization, and the process becomes self-aligning.<br />

Fig. 7a: Test beam structures manufactured using powder blasting. The masks<br />

were manufactured of 500!m PMMA sheets and clamped on the substrate<br />

during the process. The lamination stacking pins are used for the alignment of<br />

the mask.<br />

Fig. 7b: Powder blasting alignment test. An expired paste was printed for<br />

lower material consumption. In spite of explicitly laser cutting inaccurate<br />

alignment marks causing 70!m misalignment, the metallization is still in<br />

range to process completely covered flexures.<br />

114


As the metallization is too reflective for the wavelength<br />

of the used laser, and increased laser power could cause<br />

melting and wielding of the top and bottom layers, cold mechanical<br />

etching using a powder blaster was applied. Figure<br />

7a shows a test sheet after performing both powder blasting<br />

steps using 9!m alumina powder, 50psi nitrogen pressure<br />

and a nozzle distance of 20mm. Worst-case alignment tests,<br />

as depicted in figure 7b, show that the self-aligning still<br />

works for misalignments higher than the maximum assumed.<br />

The mechanical structures and the use of sacrificial material<br />

have an impact to the lamination and cofiring settings.<br />

Applying high lamination pressure causes a higher risk of<br />

flexure and plane deformation. Information about delamination<br />

was obtained by laminating multiple samples with nano<br />

carbon sheet material (170!m) with reduced pressure and<br />

without an additional sacrificial LTCC frame. The specified<br />

for HL2000 is 10.3MPa (1500PSI) at 75ºC in an isostatic<br />

hot water press. The test has indicated 7MPa and 75ºC can<br />

be applied without effecting observable delamination.<br />

Adapting the cofiring temperature is necessary due to the<br />

evaporation and escaping of the nano carbon material from<br />

the laminated LTCC body [21]. As a large area of sacrificial<br />

material is enclosed with LTCC layers, a high slope of the<br />

temperature can affect layer deformation and cracking. The<br />

cofiring curve provided by the manufacturer recommends a<br />

linear start slope to 440ºC in 6 hours (1.2K/min). The profile<br />

has to be adapted the slope of 0.5K/min, as reported in [22].<br />

The full manufacturing process steps and parameters required<br />

to build the functional layers of the prototype device<br />

will be presented at the conference.<br />

CONCLUSIONS<br />

The described design of an actuator for dynamic alignment<br />

purposes in six degrees of freedom is process compatible<br />

with the standard LTCC process technologies. Via drilling,<br />

via filling and track metallization printing can be performed<br />

with standard processes. Additional process steps<br />

have been used to the underside metallization of the top<br />

electrode layer, structuring of the mechanical features in the<br />

actuated layer, as well as for the gap filling with sacrificial<br />

material. The cofiring temperature curve has to be adapted.<br />

Further investigations are required to analyze the impact of<br />

layer deformations due to the double sided printing on the<br />

electrode gaps in the completed actuator package, as well as<br />

the heat transfer and thermal deformations in the beams<br />

caused by the driving currents.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

REFERENCES<br />

[1] M. Luetzelschwab, D. Weiland, and M. P. Y. Desmulliez, “Adaptive<br />

Packaging Solution for a Microlens Array Placed Over a Micro-UV-LED<br />

Array”, Micro-Assembly Technologies and Applications,<br />

pp. 129-138, 2010.<br />

[2] H. Birol, T. Maeder, C. Jacq, S. Straessler, and P. Ryser, “Fabrication<br />

of low-temperature co-fired ceramics micro-fluidic devices using<br />

sacrificial carbon layers”, Int J Appl Ceram Tec, 2, pp. 364-<br />

373, 2005.<br />

[3] D. Belavic et al., “PZT thick films for pressure sensors: Characterisation<br />

of materials and devices”, Electronics System-Integration<br />

Technology Conference, 2008. ESTC 2008. 2nd, pp. 989 - 994,<br />

2008.<br />

[4] H. Klumbies, U. Partsch, A. Goldberg, S. Gebhardt, U. Keitel, and<br />

H. Neubert, “Actuators to be integrated in Low Temperature Cofired<br />

Ceramics (LTCC) microfluidic systems”, Electronics Technology,<br />

2009. ISSE 2009. 32nd International Spring Seminar on,<br />

pp. 1 - 4, 2009.<br />

[5] Y. Imanaka, “Multilayered low temperature cofired ceramics<br />

(LTCC) technology ”, Springer, ISBN: 0387231307, pp. 229, 2004.<br />

[6] Y. Lacrotte, F. Amalou, W. Yu, and M. P. Desmulliez, “Micro-<br />

Patterning of Green Tape Ceramic Using Powder-Blasting for<br />

LTCC Manufacturing”, IMAPS CICNT, Denver, pp. 1-8, 2009.<br />

[7] D. Andrijasevic, W. Smetana, J. Zehetner, and S. Zoppel, “Aspects<br />

of micro structuring low temperature co-fired ceramic (LTCC) for<br />

realisation complex 3D objects by embossing”, Microelectronic<br />

Engineering, 2007.<br />

[8] H.-J. Kim, Y.-J. Kim, and J.-R. Kim, “An Integrated LTCC Inductor<br />

Embedding NiZn Ferrite”, Magnetics, IEEE Transactions on,<br />

42, pp. 2840 - 2842, 2006.<br />

[9] L. Lagorce, O. Brand, and M. Allen, “Magnetic microactuators<br />

based on polymer magnets”, IEEE Journal of Microelectromechanical<br />

Systems, 8, pp. 2-9, 1999.<br />

[10] B. Wagner, and W. Benecke, “Microfabricated actuator with moving<br />

permanent magnet”, Micro Electro Mechanical Systems, 1991,<br />

MEMS '91, Proceedings. An Investigation of Micro Structures,<br />

Sensors, Actuators, Machines and Robots. IEEE, pp. 27 - 32, 1991.<br />

[11] R. Greenough, M. Schulze, A. Jenner, and A. Wilkinson, “Actuation<br />

with Terfenol-D”, Magnetics, IEEE Transactions on, 27, pp.<br />

5346-5348, 1991.<br />

[12] C. Bolzmacher, K. Bauer, U. Schmid, M. Hafez, and H. Seidel,<br />

“Displacement amplification of piezoelectric microactuators with a<br />

micromachined leverage unit”, Sensors and Actuators A: Physical,<br />

157, pp. 61-67, 2010.<br />

[13] E. Heinonen, J. Juuti, and H. Jantunen, “Characteristics of piezoelectric<br />

cantilevers embedded in LTCC”, Journal of the European<br />

Ceramic Society, 27, pp. 4135-4138, 2007.<br />

[14] L. Golonka et al., “Properties of PZT thick films made on LTCC”,<br />

Microelectronics International, 22, pp. 13-16, 2005.<br />

[15] R. Holzer, I. Shimoyama, and H. Miura, “Hybrid electrostatic/magnetic<br />

microactuators”, Robotics and Automation, 1995. Proceedings.,<br />

1995 IEEE International Conference on, 3, pp. 2941-<br />

2946 vol. 3, 1995.<br />

[16] L. Ledernez, F. Olcaytug, H. Yasuda, and G. Urban, “A modification<br />

of Paschen law for Argon”, 29th ICPIG, July 12-17, 2009,<br />

Cancun, Mexico, Jun 12.<br />

[17] A. J. Wallash, and L. Levit, “Electrical breakdown and ESD phenomena<br />

for devices with nanometer-to-micron …”, Proceedings of<br />

SPIE, 2003.<br />

[18] M. J. Madou, “Fundamentals of microfabrication: the science of<br />

miniaturization ”, CRC Press, ISBN: 0-8493-0826-7, pp. 723, 2002.<br />

[19] D. A. Fleisch, “A student's guide to Maxwell's equations ”, Cambridge<br />

University Press, ISBN-13: 978-0-511-39308-2, pp. 134,<br />

2008.<br />

[20] I. Szabó, “Höhere technische Mechanik”, Springer, ISBN: 3-540-<br />

67653-8, pp. 546, 2000.<br />

[21] L. E. Khoong, Y. Tan, and Y. C. Lam, “Carbon burnout and densification<br />

of self-constrained LTCC for fabrication of …”, Journal of<br />

the European Ceramic Society, 2009.<br />

[22] A. C. Zatarain, “High-Quality CO(2) Laser Machining of LTCC<br />

Structures for Thermal Management of a Group of Single-Emitter<br />

Laser Diodes”, IMAPS Proceedings 2009.<br />

115


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Implementing MEMS resonators in 90 nm CMOS<br />

J. E. Ramstad, J. A. Michaelsen, O. Soeraasen, D. Wisland<br />

Department of Informatics, University of Oslo<br />

P.O. Box 1080 Blindern<br />

N-0316 Oslo, Norway<br />

In the ubiquitous information age of today there is an<br />

increased interest in combining actuating and sensing<br />

technology with the advanced signal processing of the well<br />

established CMOS technology. With that in mind, this work<br />

investigates the possibility of making MEMS resonators in finepitch<br />

CMOS in contrast to making MEMS in more coarsegrain<br />

CMOS processes. This is done by using the metal layers<br />

in the CMOS process both as structural layers and as a mask,<br />

using only a few post-CMOS etch steps. A modern and mature<br />

90 nm CMOS process from ST Microelectronics is used and test<br />

structures are analyzed. A set of design rules have been derived<br />

and a general design guideline is described. As a practical<br />

example, implemented MEMS frequency tunable resonators<br />

are shown that are intended to be used as VCOs in an FDSM<br />

system to show the feasibility of the work.<br />

I. INTRODUCTION<br />

Wireless Sensor Network (WSN) nodes are wireless<br />

devices that monitor the environment and send information<br />

to other nodes through a transceiver. The sensor part and the<br />

transceiver part of such a node typically utilize large bulky<br />

off-chip components to perform these tasks. MEMS devices<br />

can replace some of these off-chip components and can be<br />

implemented directly on-chip by making MEMS out of the<br />

layers offered by the CMOS process (post-CMOS). This will<br />

allow a much more compact and more functional WSN<br />

node.<br />

There is a wide interest of integrating MEMS through<br />

post-CMOS processing for both sensing and transmitting<br />

applications. Examples of such sensor devices and<br />

transceiver components include: resonators [1], inductors<br />

[2], varactors [3], self-assembly devices [4], magnetometers<br />

[5], accelerometers [6], gyroscopes [7] and switches [8]. The<br />

common denominator of these examples are that these<br />

devices are implemented in more coarse-grain CMOS<br />

processes around the 0.35!m technology node. For WSN<br />

nodes it is desirable to make full on-chip systems with<br />

sensors, a transceiver and a signal processing unit with low<br />

power consumption and compact size. In this respect,<br />

investigating the possibility of making MEMS in fine pitch<br />

CMOS (90 nm CMOS or finer) is highly interesting.<br />

II. MEMS IN A 90 NM CMOS PROCESS<br />

A. CMOS-MEMS process features<br />

Our previous implementations of MEMS in CMOS [9,10]<br />

have been realized using a 0.25 !m CMOS process from ST<br />

Microelectronics (STM) where the dies have been postprocessed<br />

by Carnegie Mellon University (CMU) through<br />

the ASIMPS service from Circuits Multi-Projets (CMP).<br />

With the assistance from CMU, a chip in the STM 90 nm<br />

CMOS process has been post-processed which follows the<br />

Silicon substrate<br />

Metal layers 1 to 4<br />

Metal layer 5<br />

Metal layer 6 or 7;<br />

shielding layer<br />

Vias<br />

CMOS circuitry<br />

MEMS resonator structure<br />

(stack of metal-dielectric from M1 to M5)<br />

S<br />

Silicon substrate<br />

(a)<br />

(c)<br />

S<br />

Dielectric layers<br />

CMOS shielded by<br />

the top metal layer<br />

Remaining dielectric layers<br />

after the first etch step<br />

S<br />

Released MEMS resonator<br />

Resulting silicons profile<br />

after the third etch step<br />

Fig. 1. 90 nm CMOS-MEMS process etch steps<br />

same etch steps in order to make MEMS in CMOS as shown<br />

in fig. 1. The top metal layer is used as a mask to define the<br />

MEMS structures. The MEMS structure will contain a stack<br />

of metals and dielectrics that will define the structural<br />

thickness which in turn allows for electrostatic operation<br />

laterally above the wafer surface. As can be seen in fig. 1b)<br />

the dielectric layers are etched away, the silicon is<br />

anisotropically etched in c) and then finally released through<br />

an isotropic etch in d).<br />

In this configuration of the STM 90 nm CMOS process<br />

we used seven metal layers with an extra metal layer<br />

typically used for bonding only. Fig. 2 shows a cross-section<br />

of seven metal layers. As this is a Dual Damascene CMOS<br />

process, all metal layers consist of copper composites except<br />

the bond pad layer which consists of aluminum. The aspectratio<br />

attainable for etching narrow gaps are limited through<br />

the DRIE etch, so the focus is not to tune the process for<br />

small gaps, but instead use self-assembly (SA) structures to<br />

create narrow gaps as shown in section III.<br />

Metal 7<br />

Metal 6<br />

M1-M5<br />

{<br />

Fig. 2. Overview over the metal layers of the process<br />

E2<br />

S2<br />

(b)<br />

(d)<br />

116


B. Implemented die overview<br />

A die containing structures for optical tests, test resonators<br />

and tunable resonators as a VCO-FDSM design (see section<br />

III for the system design details) was implemented using a<br />

standard 90 nm CMOS process as can be seen in fig. 3.<br />

Fig. 3. SEM photo of the implemented 90 nm CMOS-MEMS chip<br />

Through optical measurements, guidelines and tentative<br />

design rules can be established. The primary goal of<br />

characterizing the process is to see what kind of dimensions<br />

and parameters for MEMS structures that are attainable<br />

through a standard post-CMOS process and to see if the<br />

CMOS circuitry becomes affected by the etch steps. The die<br />

layout is seen in fig. 4 where bond pads for ESD protection,<br />

CMOS circuitry and MEMS are highlighted. These pads are<br />

electrically separated but mechanically connected together<br />

through an extra edge. This extra edge prevents the post-<br />

CMOS etch from etching beneath the ESD and CMOS pads<br />

and prevents the circuitry from being etched. The extra edge<br />

also adds mechanical robustness to the die.<br />

Extra edge<br />

to prevent<br />

etch and<br />

for mechanical<br />

support<br />

system design<br />

test resonators<br />

test structures<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

C. Characterizing the 90 nm process<br />

system design<br />

test resonators<br />

test structures<br />

ESD pad<br />

MEMS pad<br />

CMOS pad<br />

Fig. 4. Layout of the implemented 90 nm CMOS-MEMS chip<br />

When characterizing the process, it is important to focus<br />

on what parameters that are required in order to achieve<br />

good MEMS resonator performance. Many MEMS sensors<br />

and RF resonators rely on the electrostatic actuation<br />

principle, operating laterally above a surface. Equation 1<br />

below describes the electromechanical coupling coefficient:<br />

The electromechanical coupling coefficient is related to<br />

the electrostatic force that is used to achieve a certain<br />

resonator displacement which in turn results in an output<br />

current. " can be increased by a long resonator electrode<br />

length (WE), a thick resonator thickness (HR) or a small gap<br />

(g). The polarization voltage VP can be utilized to further<br />

enhance the resonator performance but is not related to the<br />

process development. The metal-dielectric stack in fig. 2<br />

shows metal 1 (M1) up to metal 7 (M7) resulting in a 4 !m<br />

thick structure. In this work, M1 up to M5 is used to make 3<br />

!m thick resonators. M1 to M5 have roughly the same<br />

thickness while M6 and M7 are more than twice as thick.<br />

Compared to coarse grain CMOS processes, making MEMS<br />

in fine-pitch CMOS requires more metal layers in order to<br />

achieve the same structural thickness.<br />

For tunable resonators it is desirable to have long thin<br />

beams with a low spring stiffness (k). Eq. 2 describes the<br />

relationship between resonator stiffness and mass where # is<br />

a topographical scaling factor. A small width (WR) and a<br />

large length (LR) is desirable for obtaining a low spring<br />

stiffness in order to tune the resonance frequency (f0) of the<br />

resonator. A large electrode length WE results in a high<br />

electrical spring stiffness which is subtracted from the<br />

mechanical spring stiffness, thus the resonance frequency<br />

can be tuned by using the polarization voltage VP. Wider<br />

MEMS (large WR) structures have been implemented that<br />

can be used as high frequency filtering components.<br />

f 0 = 1<br />

2π<br />

η = V P<br />

ε 0 W E H R<br />

g 2<br />

<br />

k<br />

m =1.03κ <br />

E<br />

ρ<br />

W R<br />

L 2 R<br />

The 90 nm CMOS process consists of copper composites<br />

instead of aluminum which is standard in older CMOS<br />

processes. This causes the effective Young’s Modulus (E) of<br />

a stack to be lower while the material density ($) will be<br />

larger due to the low-k dielectrics, resulting in a lower E/$<br />

ratio compared to older CMOS processes. A large E/p ratio<br />

is important for high-frequency resonators. The low-k<br />

dielectric at the lower layers will also cause the etch rate to<br />

diminish during the dielectric etch.<br />

Fig. 5 shows a layout of beams that consists of M1-M5,<br />

including polysilicon beneath the beams and a layer known<br />

as the ACTIVE layer. When making CMOS transistors, the<br />

active layer is used to make the thin oxide between the gate<br />

(polysilicon) of the transistor and the doped silicon beneath.<br />

This thin oxide is deposited at a lower temperature compared<br />

to the other dielectrics. By utilizing this thin oxide, the<br />

internal stress between the dielectric and metal layers<br />

becomes reduced, which in turn results in a reduced amount<br />

of curling. Beams with excessive curling is shown in fig 6.<br />

(1)<br />

(2)<br />

117


ACTIVE<br />

layer<br />

Poly<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A<br />

A´<br />

W1<br />

Area<br />

without<br />

ACTIVE<br />

W2<br />

A<br />

gaps. The right part of fig. 7 shows wide aluminum beams.<br />

By using the aluminum layer thicker MEMS structures can<br />

S2 S1<br />

be implemented. However, the surface is more rough as it<br />

reacts more with the etch recipe. Also the aluminum layer is<br />

limited by the CMOS Design Rule Check (DRC) on how<br />

h(14 + n + 1 n ) (3) small the gaps can (4) be and how small the width of such a<br />

Figure 7: NODAS results mechfilter<br />

1<br />

ρ = 24(α 2 − α 1 )(T − T 0 )<br />

layer can be.<br />

Eq. 3 describes the curvature of a bimorph beam where h A Veeco white light optical profilometer has been used to<br />

is the thickness, T is the temperature, T0 is the characteristic characterize the optical test structures. Some of the most<br />

temperature, % is<br />

TCE<br />

the temperature diff =(α 2 −<br />

coefficient<br />

α 1 )<br />

of expansion important measurement (5) results are shown in fig. 8 and fig 9.<br />

(TCE) and n is the difference in Young’s Modulus between Excessive curling occurs when the metal-dielectric stack<br />

the two materials. A small difference in both % and E will becomes less homogenous or has less or no thin oxide<br />

reduce the amount of curling. Due to a rule from the CMOS beneath. Thin oxide can be made by using the active layer<br />

foundry, beams with polysilicon δ = ( L 2 )2<br />

are only partially covered from the CMOS process (6)<br />

2ρ<br />

which will reduce curling as seen in<br />

by the active layer when there is no polysilicon beneath.<br />

R qi = R m<br />

2η<br />

E N =<br />

<br />

Q<br />

q i Q filter<br />

<br />

kT<br />

C<br />

E t = 4kTR∆f<br />

3.0<br />

(9)<br />

Fig. 6. Curling seen from white light interferometer measurements<br />

1.5<br />

When performing the dielectric etch, some test structures<br />

de-attached (delaminated) themselves f2 from the layer beneath<br />

0<br />

and curled upwards IN(in,tot) 2 before the I N(in) etch dω reached the silicon<br />

0 (10) 25 50 75 100<br />

substrate. These delaminated f1 beams greatly dictate the<br />

Length from anchor point [µm]<br />

tentative design rules. Structures that curl upwards or start to<br />

delaminate do that due to stress differences between layers.<br />

Fig. 8. Beams with excessive curling<br />

i o<br />

If the stress is SNR large = enough, 20log( tensile ) forces may cause<br />

(11)<br />

I<br />

structures to curl or delaminate. Between N(in,tot) 1.00<br />

a metal layer and a<br />

M1-M5 active<br />

dielectric layer there is a barrier layer which consists of Ta,<br />

M1-M5 no active<br />

0.75<br />

TaN or TiN. The thickness of this barrier layer is only a few<br />

tenth of nanometers. If this barrier layer is attacked during<br />

etching, the metal may release itself from the layer beneath<br />

due to excessive forces.<br />

A cause of measure to prevent this can be to halve the etch<br />

0.50<br />

0.25<br />

rate to increase the O2 flow and reduce the chamber pressure<br />

0<br />

which might reduce the fluorine reactions with the barrier<br />

0 15 30 45 60<br />

layers [11]. The left part of fig. 7 shows delamination of<br />

1 !m wide and 100 !m long cantilever beams with varied<br />

Length from anchor point [µm]<br />

4<br />

A´<br />

Fig. 5. Layout overview and cross-section for design rules<br />

118<br />

fig. 9. Areas containing the active layer are produced at<br />

lower temperatures, thus reducing the built-in stress between<br />

the metal and the dielectric layers. The M1-M5 stack without<br />

the active layer has (7) about 700 nm curling while the stack<br />

with the active layer has about 300 nm curling.<br />

<br />

Curling [µm]<br />

Curling [µm]<br />

Fig. 7. Left: Beams with varied gaps. Right: Aluminum beams<br />

6.0<br />

4.5<br />

M1-M7<br />

M1-M5 (8) & Poly<br />

Fig. 9. Comparison of beams with or without the active layer


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

D. Guidelines and design rules for 90 nm CMOS-MEMS<br />

III. SYSTEM TEST DESIGNS<br />

Table I shows typical process parameters for the dielectric<br />

etch using a Plasma-Therm 790 parallel-plate RIE system.<br />

As the dielectric etch step is the most challenging step, the<br />

other two etch steps remain the same. For this run the etch<br />

time was slightly longer compared to a coarse-grain post-<br />

CMOS run, and at the end of the process O2 rinsing of the<br />

dies were performed.<br />

TABLE I<br />

Post-process dielectric etch step<br />

Typical<br />

20 CHF3<br />

Gas flow [sccm] 20 CF4<br />

95 O2<br />

Pressure [mT] 100<br />

Power [W] 65<br />

DC bias [V] 270<br />

Time [min] ~120<br />

General observations:<br />

• Beams curling sideways, upwards and even downwards<br />

were observed<br />

• Structures that are long and have sufficiently small widths<br />

will delaminate and start to curl before the release-etch<br />

• Creating uniform spacing in the MEMS area will reduce<br />

the sideways curling phenomenon greatly<br />

• Homogenous material stacks curled less<br />

• Using the active layer will reduce curling<br />

• The thickness of the top metal layer is slightly milled<br />

• Polymer deposition on the sidewalls was negligible<br />

• The top metal layer had clearly defined edges<br />

TABLE II<br />

Tentative 90 nm post-CMOS design rules<br />

Dim. [!m] Rule name Comment<br />

Minimum width 1 W1 Delamination<br />

Maximum width ~10 W2 CMOS rule<br />

Max length fixed-free < 60 L1 Delamination<br />

Max length fixed-fixed < 100 L2 Curling<br />

Max stack thickness ~5 H1 Preliminary<br />

Gap spacing 1.2 S1 Guarantees release<br />

Poly from metal edge 0.6 S2 Prone to etch<br />

Active cover edge 0.3 A1 Reduce curling<br />

Active sep poly ~0.1 A2 CMOS rule<br />

Table II shows general design rules and guidelines for a<br />

general purpose 90 nm CMOS process.<br />

General purpose 90 nm post-CMOS summary:<br />

Pros<br />

• Possible smaller electrostatic gaps<br />

• Less parasitics<br />

• Lower supply voltage for less power consumption<br />

• More intricate routing capabilities<br />

• More in thread with newer CMOS processes<br />

Cons<br />

• Smaller stack thickness possible<br />

• More stringent CMOS design rules, especially the<br />

density rules in the MEMS areas<br />

• Curling and delamination more prominent<br />

In this work, a set of soft-tunable MEMS resonators to be<br />

used as voltage-controlled oscillators (VCO) have been<br />

implemented. Fig. 10 shows an electromechanical equivalent<br />

schematic of the MEMS resonator with the previously<br />

described electromechanical coupling coefficient ". The lz, cz<br />

and rz are related to mechanical and electrical parameters of<br />

the resonator. A signal at the resonance of the resonator<br />

results in the lz and cz reactances becoming equal but with<br />

opposite sign, thus the only part left is the damping part of<br />

the resonator which is rz. When tuning the resonance<br />

frequency with VP, the lz and cz changes values. CP is a<br />

parasitic element from the routing of the MEMS resonator to<br />

the following amplifier.<br />

Fig. 10. Electromechanical schematic representation of the resonator<br />

A soft tunable parallel-plate tuning fork (PPTF) with selfassembly<br />

beams is shown in fig. 11. The part of the<br />

resonator that overlaps the fixed electrodes will have equal<br />

displacement throughout the electrode length in order to<br />

reduce non-linearities. The left part of fig. 11 shows how the<br />

electrostatic gaps are reduced by using self-assembly (SA)<br />

electrodes. The beams are designed to have more metal<br />

layers on one side for each half of the SA length. A lateral<br />

force internally is generated due to built-in sideways stress<br />

during processing, and the SA will move after release and<br />

create a 200 nm small gap between the resonator and the<br />

electrode.<br />

SA (in) PPTF SA (out)<br />

1.2 m<br />

0.6 m<br />

1.2 m<br />

VAC<br />

0.6 m<br />

1 : !<br />

Out<br />

Out<br />

lz cz rz ! : 1<br />

Fig. 11. Left: The self-assembly concept. Right: PPTF-resonator with SA<br />

The lateral displacement of a self-assembly beam is shown<br />

more clearly in fig. 12 where the self-assembly beams are<br />

100 !m long and 2 !m wide. Another MEMS resonator<br />

design with self-assembly beams was implemented as a<br />

clamped-clamped (CC) beam as shown in fig 13.<br />

CP<br />

+<br />

-<br />

119


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

102<br />

430<br />

101<br />

100<br />

Simulation<br />

Analytic<br />

425<br />

420<br />

Simulation<br />

Analytic<br />

Curling of this Self-Assembly<br />

electrode is made intentional<br />

Frequency [kHz]<br />

99<br />

98<br />

97<br />

96<br />

Frequency [kHz]<br />

415<br />

410<br />

405<br />

95<br />

400<br />

94<br />

395<br />

93<br />

0.3 0.35 0.4 0.45 0.5 0.55 0.6 0.65 0.7 0.75<br />

V [V] P<br />

(a)<br />

390<br />

0.2 0.4 0.6 0.8 1 1.2 1.4<br />

V [V] P<br />

(b)<br />

)"<br />

3<br />

)'<br />

3<br />

Fig. 12. Self-Assembly beams shows lateral curling<br />

)#<br />

*"<br />

*#<br />

**<br />

*"<br />

+,-./012,-34,113567)"8<br />

*#<br />

!"<br />

!#<br />

+,-./012,-34,113567*#8<br />

*%<br />

*'<br />

!#<br />

!*<br />

#"<br />

!"<br />

##<br />

A B<br />

C"D%#A<br />

A B<br />

C"D*A<br />

!%<br />

!'<br />

A B<br />

C)D$A<br />

A B<br />

C)D#A<br />

Narrow 200<br />

nm gap<br />

$" 3<br />

!" #" $" %" &" '" ("" ((" ()" (*" (!"<br />

90/:;/-?@8<br />

(c)<br />

"# 3<br />

!"# !$# !%# !&# !'# !(# "## ")# "*# "!# ""#<br />

90/:;/-?@8<br />

Fig. 14. Frequency tune range and AC plot for the PPTF and CC resonator<br />

The simulated range of the frequency tuning is shown in<br />

fig. 14 a) and b) while fig. 14 c) and d) show the transfer<br />

function characteristics for the PPTF and CC resonator<br />

respectively. A larger VP results in a reduced resonator loss,<br />

thus reducing the impedance rz that the resonator represents<br />

at the resonance frequency.<br />

(d)<br />

A<br />

Fig. 13. Clamped-clamped beam with Self-Assembly beam<br />

Table III describes the dimensions, parameters and results<br />

of these two soft-tunable MEMS resonators. The PPTF<br />

occupies more space and has less tunability compared to the<br />

CC-beam, however the electromechanical coupling<br />

coefficient is better and the input and output electrode is<br />

clearly separated from each other.<br />

TABLE III<br />

Resonator dimensions and results<br />

PPTF CC<br />

Resonator dimensions<br />

Resonator length, LR [!m]<br />

Resonator width, WR [!m]<br />

LFRAME=100<br />

LCANTILEVER=50<br />

WFRAME=2<br />

WCANTILEVER=1<br />

100<br />

Electrode length, WE [!m] 100 100<br />

Resonator-to-electrode gap, g [nm] 200 200<br />

Resonator results<br />

Nominal resonance frequency [kHz] 102.84 424.73<br />

Frequency tuning range [kHz] 7.12 27.35<br />

Tunability in percentage [%] 6.92 6.43<br />

Effective beam stiffness [N/m] 4.30 4.74<br />

Electromechanical coupling [nN/V] 55.34 35.24<br />

1<br />

x(t)<br />

B FDSM y[n]<br />

V CLK<br />

R<br />

V P<br />

Fig. 15. System overview of resonator in an oscillator loop and with a FDSM<br />

The MEMS resonator is put in a feedback loop with an<br />

amplifier to make it oscillate naturally, shown in fig. 15. The<br />

output of the oscillator is buffered to digital logic levels. A<br />

Frequency Delta Sigma Modulator (FDSM) [12] was<br />

included on-chip in order to show CMOS circuit<br />

compatibility with the MEMS etch, and to demonstrate<br />

CMOS and MEMS interoperability. The FDSM is a<br />

frequency to digital converter, used here to generate a<br />

quantized digital bitstream output from the MEMS oscillator<br />

signal. This bitstream can be post processed offline to<br />

recover the spectral contents of the oscillator output. The<br />

FDSM was designed to operate with sampling frequencies<br />

up to 20 MHz. The amplifier A in the oscillator loop consists<br />

of a common-source amplifier set up in a Pierce amplifier<br />

configuration with a loop gain of more than one in order to<br />

initiate and sustain oscillation.<br />

The clamped-clamped resonator is quite soft and has a<br />

tuning-range of slightly more than 20 kHz using VP from<br />

0.4 V to 1.4 V. For the PPTF resonator, the tuning range<br />

would be from 0.3 V to 0.75 V with a 7 kHz tuning range.<br />

120


IN<br />

CLK<br />

OUT<br />

Fig. 16. Probe setup for FDSM measurements<br />

Before etch<br />

As a part of verifying that the CMOS circuitry survived<br />

the etch, the die was probed as seen in fig. 16. An electrical<br />

test was performed before and after etch as shown in fig. 17,<br />

confirming that the CMOS circuitry is still functional after<br />

the MEMS post-processing. A 1 V supply was used to power<br />

the circuits and the ESD protection circuits in the pad frame<br />

was active. The input of the FDSM was stimulated with 1 V<br />

at 10 kHz while the CLK signal was applied with 1 V at<br />

40 kHz. The output of the FDSM was measured using an<br />

Agilent 54524A oscilloscope.<br />

IV. CONCLUSION<br />

After etch<br />

Fig. 17. Testing CMOS circuitry before and after etch processing<br />

A 90 nm CMOS die has been implemented with a set of<br />

MEMS test structures to characterize the feasibility of<br />

making MEMS resonators in fine-pitch CMOS. The die<br />

contained optical test structures, MEMS test structures and<br />

VCO-FDSM designs. A set of design rules and guidelines<br />

for a general purpose 90 nm CMOS process has been<br />

derived. The important parameters for designing MEMS<br />

resonators were pointed out and examples of two different<br />

tunable MEMS resonators were described. Verification of<br />

the post-CMOS process was performed by testing the<br />

CMOS circuity before and after the etch. Future work<br />

include thorough measurement of the MEMS resonators and<br />

the total system performance.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

REFERENCES<br />

OUT<br />

IN<br />

CLK<br />

[1] J. Teva et al, “From VHF to UHF CMOS-MEMS resonator<br />

monolithically integrated in a standard 0.35 !m CMOS<br />

technology”, in Proc. IEEE 20th Int. Conf. MEMS, pp.779-782,<br />

2007<br />

[2] S.-H. Tseng et al, “A 5.8-GHz VCO with CMOS-compatible<br />

MEMS inductors”, Sensors and Actuators, Vol. 139, pp. 187-193,<br />

2007<br />

[3] M. Bakri-Kassem, S. Fouladi and R. R. Mansour, “Novel High-Q<br />

MEMS curled-plate variable capacitors fabricated in 0.35!m<br />

CMOS technology”, Microwave Theory and Techniques, IEEE<br />

Transactions on, Vol. 56, No. 2, pp. 530-541, 2008<br />

[4] A. Jain, H. Qu, S. Todd and H. Xie, “A thermal bimorph<br />

micromirror with large bi-directional and vertical actuation”,<br />

Sensors and Actuators, Vol. 122, No. 1, pp. 9-15, 2005<br />

[5] F. Tejada, A. G. Andreou, D. K. Wickenden and A. S.<br />

Francomacaro, “Surface micromachining in Silicon on Sapphire<br />

CMOS technology”, Circuits and Systems, 2004. ISCAS ’04.<br />

Proceedings of the 2004 International Symposium on, Vol 4, pp.<br />

IV-920-3, May 2004<br />

[6] H. Qu and H. Xie, “Process Development for CMOS-MEMS<br />

Sensors with robust electrically isolated bulk silicon<br />

microstructures”, Journal of Microelectromechanical Systems, Vol.<br />

16, No. 5, pp. 1152-1161, October 2007<br />

[7] H. Xie and G. K. Fedder, “Fabrication, characterization and analysis<br />

of a DRIE CMOS-MEMS Gyroscope”, Sensors Journal IEEE, Vol.<br />

3, No. 5, pp. 622-631, October 2003<br />

[8] C.-L. Dai et al, “Modeling and fabrication of a<br />

microelectromechanical microwave switch”, Microelectronics<br />

Journal, Vol. 38, No. 4-5, April 2007<br />

[9] O. Soeraasen and J. E. Ramstad, "From MEMS Devices to Smart<br />

Integrated Systems", Journal of Microsystem Technologies, Vol. 14,<br />

No. 7, pp. 895-901, Springer-Verlag, 2008<br />

[10] J. E. Ramstad, K. G. Kjelgaard, B. E. Nordboe and O. Soeraasen,<br />

"RF MEMS front-end resonator, filters, varactors and a switch<br />

using a CMOS-MEMS process”, Design, Test, Integration &<br />

Packaging of MEMS/MOEMS, Symposium on, pp. 170-175, April<br />

2009<br />

[11] X. Zhu, S. Santhanam, H. Lakdawala, H. Luo and G. K. Fedder,<br />

“Copper interconnect low-K dielectric post-CMOS<br />

micromachining”, in Proc. of 11th International Conference on<br />

Solid-state sensors and actuators digest of technical papers, pp.<br />

1548-1551, 2001<br />

[12] M. Hovin, A. Olsen, T. S. Lande, C. Toumazou, “Delta-Sigma<br />

modulators using frequency modulated intermediate values”,<br />

Journal of Solid-State Circuits, vol. 32, no. 1, pp.13-22, 1997<br />

ACKNOWLEDGEMENTS<br />

The authors would like to thank Suresh Santhanam from<br />

Carnegie Mellon University for post-processing the dies.<br />

121


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

The Influence of Adhesive Materials on<br />

Chip-On-Board Packing of MEMS Microphone<br />

Cheng-Hsin Chuang *1 , Yi-Hsuan Huang 1 and Shin-Li Lee 2<br />

1 Department of Mechanical Engineering, Southern Taiwan University<br />

No. 1, Nantai St., Yung-Kang City, Tainan, Taiwan, ROC.<br />

2 Micro System Technology Center, Institute Technology Research Institute Southern, Tainan, Taiwan, ROC.<br />

*E-mail Address:chchuang@mail.stut.edu.tw, Tel:+886-6-3010081 and Fax:+886-6-2425092<br />

Abstract- Adhesive material is commonly used for attaching die<br />

onto the printed wiring board (PWB) in the Chip-on-Board (COB)<br />

packaging of MEMS devices. However, the polymer-based<br />

adhesive usually possesses large difference in the coefficient of<br />

thermal expansion (CTE) between silicon chip and PWB.<br />

Therefore, the mismatch of CTE could lead to the<br />

thermally-induced stress and coupling deformation of multilayer<br />

structure in the reflow process as surface-mount technology<br />

(SMT) on printed circuit board (PCB). In this study, three<br />

different adhesive materials, namely 2025D, 3140RTV and<br />

SDA6501, and two different cap materials, namely liquid crystal<br />

polymer (LCP) and nickel (Ni), were evaluated the influences on<br />

the thermally-induced stress in the ploy-silicon diaphragm of<br />

MEMS microphone based on Finite Element Analysis (FEA).<br />

According to the results, we obtained the following two findings:<br />

(1) The CTE mismatch of LCP cap and the metal (Ni) cap caused<br />

different type of thermal deformations of PWB and lower<br />

thermally-induced stress and deformation were found in the case<br />

of LCP cap, however, different cap materials less affected the<br />

thermal stress in the diaphragm. (2) Soft adhesive materials<br />

(3140RTV and SDA6501) have better mechanical isolation of<br />

PWB thermal deformation due to the buffer layer effects. On the<br />

contrary, hard adhesive material (2025D) could be affected by<br />

PCB thermal deformation when the thickness of adhesive was less<br />

than 30μm, thus, a lower stress in the diaphragm existed due to<br />

the stress compensation by PWB thermal deformation. In<br />

general, present study provides the basis of selection of adhesive<br />

material for COB MEMS packaging.<br />

Keywords: Diaphragm, Adhesive, Die attach, Thermal analysis<br />

I. INTRODUCTION<br />

Chip-on-Board (COB) packaging technology is directly<br />

bonding a device chip to a second level substrate with<br />

adhesive material. Currently, COB packaging has been<br />

adopted by semiconductor manufacturing as well as MEMS<br />

foundry due to multiple advantages including low thermal<br />

resistance, high cost efficiency, ideal design flexibility, etc.<br />

However, the mismatch of coefficients of thermal expansion<br />

(CTE) between multi-laminated materials may introduce<br />

thermal stress and deformation when the COB device is<br />

further mounted onto a printed circuit board (PCB) surface by<br />

surface-mount technology (SMT). During SMT process, a<br />

heating process of solder reflow is necessary to produce a<br />

high quality of solder joint between COB device and PCB.<br />

The soldering processing involves four steps such as preheat,<br />

activation, reflow and cool down, the highest temperature<br />

during reflow usually gets up to 260℃ and the total time is<br />

about 360 seconds from oven entrance to the end of reflow<br />

stage. Therefore, it’s necessary to evaluate the thermal<br />

influence on COB devices as assembling by SMT process.<br />

Several researchers have investigated the thermal influences<br />

of IC packaging based on COB method [1-3]. Tom Tuhus and<br />

Are Bjomeklett [1] indicated a soft adhesive material could<br />

bring the stress relaxing effect but may lead to fatigue of the<br />

adhesive layer as repeat cyclic temperature changes. Qing’an<br />

Huang, et al.[2], proposed a 2D theoretical model of COB<br />

packaging for evaluating the coupling deformation and stress<br />

under thermal load. They found less thermal influence when<br />

the silicon die attached on a ceramic substrate instead of an<br />

organic substrate. Andrew A. O. Tay and K. Y. Goh [3]<br />

addressed the delamination phenomenon might occur during<br />

solder reflow in the COB packaging device. As we knows, the<br />

packaging of MEMS devices is quite different with regards to<br />

IC packaging due to internal moving parts and external<br />

environmental exposure for sensing purposes. The moving<br />

parts in an MEMS device usually are the most important and<br />

fragile structures relevant to its performance, e.g., sensing or<br />

actuating; therefore, the thermally-induced stresses and<br />

distortions of the moving parts could affect overall<br />

performance after reflow process. Recently, COB packaging<br />

has already been used in MEMS devices and found<br />

significant influence on sensor performance after adhesive<br />

curing or reflow process. Zhigno Sun et al. [4] experimentally<br />

revealed the residual stress after adhesive curing could be tens<br />

of MPa for a piezoresistive pressure sensor packaged by COB.<br />

Furthermore, the offsets of pressure sensor output varied with<br />

different kinds of adhesive materials and adhesive thickness<br />

have been investigated by several studies [5-7]. Consequently,<br />

the selection of adhesive material and its thickness could play<br />

an important role for reduction of the thermal influence under<br />

thermal load. In this study, we tried to numerically evaluate<br />

two different cap materials and three adhesive materials with<br />

different Young’s modulus and CTE for a silicon MEMS<br />

microphone attached on printed wiring board (PWB) as<br />

shown in the Fig. 1. Two cap materials are nickel and liquid<br />

crystal polymer (LCP), and three commercial adhesive<br />

122


11-13 <br />

May 2011, Aix-en-Provence, France<br />

materials are 2025D (Ablestik), 3140RTV and DA6501<br />

<br />

convergence tests.<br />

(Dow Corning). Based on these material properties, the better<br />

solution for reduction of thermal influence on MEMS<br />

microphone can be provided by using an actual size 3D model<br />

in the numerical analyses.<br />

Fig. 2: A FEA model of CMOS Microphone packing and diaphragm thickness<br />

is 0.002mm.<br />

Fig.1: Silicon microphone chip packaged by COB packing,(a) Metal cap<br />

packing ,(b) LCP cap packing , (c) The cross-section of chip.<br />

II.<br />

SIMULATION MODEL<br />

A 3D model of COB packaging for a backside-etched<br />

MEMS microphone chip with a 2μm-thick polysilicon<br />

diaphragm attached onto a PWB substrate by adhesive<br />

material was illustrated in Fig. 2. The dimensions of COB<br />

packaging and all the material properties were indicated in the<br />

Fig. 1 and listed in Table 1, respectively. There were three<br />

kinds of adhesive materials utilized for die attachment, first<br />

adhesive material, 2025D, possesses higher Young’s modulus<br />

but relative low CTE, the other two silicone adhesive<br />

materials, 3140RTV and DA6501, have very low Young’s<br />

modulus but relative high CTE. In addition, an external cap<br />

was bonded on PWB by epoxy material for shielding<br />

electromagnetic wave and pollutions. Two kinds of cap<br />

material, nickel and LCP, were investigated to decrease the<br />

thermal deformation of PWB for further reduction of the<br />

thermal influence on diaphragm. For heating process, we<br />

adopted the heating temperature of the solder reflow process<br />

which took a total of 400 seconds to raise room temperature<br />

from 23 ℃ to 260 ℃ and then dropped back to room<br />

temperature, as shown in Fig. 3. In order to evaluate the<br />

effects of adhesive thickness, three kinds of thickness were<br />

employed for each adhesive material, 20, 30 and 50 μm, in the<br />

simulation models. All these numerical models were solved<br />

by commercial finite element analysis software, ABAQUS. In<br />

the boundary condition setting, only one corner at the bottom<br />

of PWB substrate was set as no displacement in X, Y and Z<br />

directions, i.e., U X =U Y =U Z =0, but the other three corners<br />

were set as no displacement in the Y direction, i.e., U Y =0.<br />

Therefore, the model has free constraint in the X and Z<br />

direction as thermal expansion and there is no rotational<br />

constraint in this model so that we can evaluate the warpage<br />

of packaging cap as well as PWB substrate. The element type<br />

used in the 3D model was 8-node cubic element and the total<br />

element number was about 79000 to 84000 based on<br />

Fig. 3: The heating history for simulation of reflow process as COB device<br />

was surface mounted on PCB in the SMT process.<br />

III.<br />

(a) Influence of packaging cap<br />

RESULTS AND DISCUSSIONS<br />

The thermal deformations of the 3D model as maximum<br />

reflow temperature 260 ℃ for different packaging caps and<br />

adhesives were indicated in Fig. 4. In the Fig. 4(a) and 4(b),<br />

the thermal deformations of LCP cap were larger than PWB<br />

substrate due to a higher CTE value of LCP than PWB. Thus,<br />

a convex thermal deformation can be seen in the PWB<br />

substrate. However, a concave thermal deformation of PWB<br />

substrate can be found in the Fig. 4(c) and 4(d) due to a lower<br />

CTE value of nickel than PWB. Namely, the deform direction<br />

are opposite for different cap materials. Furthermore, the<br />

thermal warpage of PWB in the nickel cap case is larger than<br />

the value in the LCP cap due to the nickel cap constrains the<br />

PWB to deform in the out-of-plane direction. The maximum<br />

thermal stress for nickel cap case occurred at the metal cap<br />

near the bonding region and the Von-Mises stress values were<br />

about 246.2 ~ 246.9 MPa as shown in the Fig. 4(c) and 4(d),<br />

which is larger than the stress values in the LCP cap cases,<br />

192.5 ~ 197.7 MPa, happened at the bonding paste epoxy<br />

material. Therefore, LCP cap for COB packaging can provide<br />

less thermal deformation of PWB and lower thermal stress in<br />

the bonding paste to reduce the thermal influence on MEMS<br />

123


11-13 <br />

May 2011, Aix-en-Provence, France<br />

chip and improve the reliability of cap bonding.<br />

<br />

30<br />

Max stress on membrane (MPa)<br />

20<br />

10<br />

LCP Cap<br />

2025D<br />

3140RTV<br />

DA6501<br />

Fig. 4: The deformation and stress distribution of 3D model with 20um-thick<br />

adhesive at 260°C, all the deformations are magnified by 10 times. (a) and (b)<br />

are LCP cap with different adhesives 2025D and 3140RTV, respectively. (c) and<br />

(d) are Ni cap with different adhesives 2025D and 3140RTV, respectively.<br />

(b) Influence of adhesive material and thickness<br />

As in the COB packaging, only adhesive material was used<br />

to attach the chip and the PWB together, therefore, the<br />

thickness and material properties of the adhesive material<br />

play a critical role to reduce the thermal stress in the<br />

membrane during reflow process. The maximum stress on the<br />

membrane at 260 ℃ for different adhesive material and<br />

thickness in the cases of LCP cap and nickel cap were shown<br />

in the Fig. 5(a) and 5(b), respectively. As the results, no<br />

matter packaged under LCP cap or nickel cap, the difference<br />

of thermal stress in the membrane is small. Moreover, the<br />

softer adhesive material (3140RTV and DA6501) shows a<br />

rising trend of maximum thermal stress in the membrane<br />

when the thickness increases owing to the thicker adhesive<br />

leads to larger thermal expansion in the adhesive layer, which<br />

induces larger stress in the membrane. In addition, the stress<br />

values in the cases with softer adhesive were higher than the<br />

values in the cases with harder adhesive (2025D). This can be<br />

attributed to the CTE of 2025D is only about half value of<br />

3140RTV or DA6501. Another interesting phenomenon in<br />

the Fig. 5 is that when the thickness of 2025D adhesive ranges<br />

between 20μm and 30μm, its stress first declined before it<br />

increased, showing a different trend from the other two soft<br />

adhesive materials. This is due to the high elasticity of 2025D<br />

adhesive material; therefore, when the thickness of 2025D<br />

adhesive is thin the thermal deformation of PWB could affect<br />

to the silicon chip. However, when the thickness of 2025D<br />

adhesive material is thicker above 30μm the thermal<br />

deformation of PWB is less influence to the silicon chip due<br />

to the stress relaxation and energy absorption by adhesive<br />

material, so called the buffer layer effect. In contrast, the<br />

buffer layer effect starts to play at the very beginning on an<br />

adhesive material with lower Young’s modulus, so the silicon<br />

chip is not strongly affected by the PWB deformation at the<br />

bottom for a soft adhesive case.<br />

Max stress on memebrane (MPa)<br />

0<br />

30<br />

20<br />

10<br />

0<br />

20 30 40 50 60<br />

Adhesive thickness (um)<br />

(a)<br />

Metal Cap<br />

2025D<br />

3140RTV<br />

DA6501<br />

20 30 40 50 60<br />

Adhesive thickness (um)<br />

(b)<br />

Fig. 5: The thermal stresses in the diaphragm for various cases with different<br />

adhesive material and different thickness, (a) Material of cap is LCP and (b)<br />

Material of cap is nickel.<br />

(c) Discussion on buffer layer effect<br />

As indicated in the Fig. 5, a different phenomenon between<br />

soft and hard adhesive when the thickness blew 30μm. Hence,<br />

the elasticity of adhesive material has significant influence on<br />

the thermal stress in the membrane. When a hard adhesive<br />

material (2025D) with 20μm to 30μm in thickness, the<br />

inadequate thickness fails to bring obvious buffer layer effect<br />

so that the thermal stress of the PWB at the bottom goes<br />

upwards. Fig.6 (a) shows how the membrane stress changes<br />

as the heating temperature described in Fig. 3. The membrane<br />

stress increases when the 2025D adhesive material is<br />

thickened. In the stress history of the 20μm-thickness case,<br />

the stress increased with temperature at beginning in a tensile<br />

range, but the stress turned to compressive value as the<br />

temperature went to 260℃. The similar trend can be observed<br />

in the case of 30μm thickness, but the compressive stress is<br />

smaller. As the results, the thermal stress in the membrane<br />

with 2025D adhesive material declined first as the thickness<br />

is between 20 to 30μm. From the comparison of thermal<br />

deformations between PWB and membrane for 2025D<br />

124


adhesive material with 30μm thickness illustrated in the Fig.<br />

6(b), the thermal deformation in the PWB is in the shape of<br />

upward concave, so that the PWB deformation could affect<br />

the silicon chip in a compressive way if the adhesive as a<br />

stress-transmission layer. Therefore, the tensile thermal stress<br />

in the membrane could be compensated by the external<br />

compressive stress from the bottom PWB substrate. However,<br />

when the thickness is increased, the buffer layer effect<br />

improves to reduce the transmission of the external stress<br />

from the bottom, so that the compressive stress in the<br />

membrane gradually declines in the 30μm-thickness case of<br />

2025D adhesive.<br />

In Fig.7 (a), as the soft adhesive material demonstrates<br />

buffer layer effect from the beginning, as the temperature<br />

rises, the thermal stress of the chip also increases but there is<br />

little difference between the case of 20μm thickness and<br />

30μm thickness. When the thickness of adhesive is thin, the<br />

thermal stress in the membrane mainly comes from the CTE<br />

mismatch between poly-silicon and silicon substrate in the<br />

range of dozen MPa. As the thickness is over 30μm, thermal<br />

expansion of adhesive directly affects the diaphragm<br />

therefore the stress in the diaphragm increase rapidly. In<br />

addition, from the comparison of thermal deformation<br />

between PWB and membrane in the 3140RTV adhesive<br />

material as indicated in the Fig. 7(b), the deformation of PWB<br />

is in an outward convex shape, therefore, the external stress<br />

from bottom PWB to membrane can be regarded as a tensile<br />

way. So that, we cannot find the stress compensation in a soft<br />

adhesive material.<br />

Stress on membrane (MPa)<br />

25<br />

20<br />

15<br />

10<br />

5<br />

0<br />

-5<br />

LCP Cap 2025D<br />

2025D 20um<br />

2025D 30um<br />

2025D 50um<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

0<br />

Displacement (mm)<br />

-0.002<br />

-0.004<br />

-0.006<br />

LCP Cap - Adhesive 2025D<br />

2025D-30um-Mem<br />

2025D-30um-PWB<br />

-0.008<br />

0 0.4 0.8 1.2 1.6<br />

Distance (mm)<br />

(b)<br />

Fig. 6: (a) The time history of thermal stress in the membrane as COB<br />

packaging with LCP cap and difference thickness of 2025D adhesive; (b) The<br />

deformation of membrane and PWB at 260°C as COB packaging with LCP cap<br />

and 30 μm thickness of 2025D adhesive.<br />

Stress on membrane (MPa)<br />

30<br />

25<br />

20<br />

15<br />

10<br />

5<br />

0<br />

-5<br />

-10<br />

0 50 100 150 200 250<br />

0.006<br />

0.004<br />

LCP Cap 3140RTV<br />

3140RTV 20um<br />

3140RTV 30um<br />

3140RTV 50um<br />

Time (sec)<br />

(a)<br />

LCP Cap - Adhesive 3140RTV<br />

3140RTV-30um-Mem<br />

3140RTV-30um-PWB<br />

-10<br />

-15<br />

0 50 100 150 200 250<br />

Time (sec)<br />

(a)<br />

Displacement (mm)<br />

0.002<br />

0<br />

-0.002<br />

0 0.4 0.8 1.2 1.6<br />

Distance (mm)<br />

(b)<br />

Fig. 7: (a) The time history of thermal stress in the membrane as COB<br />

packaging with LCP cap and difference thickness of 3140RTV adhesive; (b)<br />

The deformation of membrane and PWB at 260°C as COB packaging with<br />

LCP cap and 30 μm thickness of 3140RTV adhesive.<br />

125


IV.<br />

CONCLUSIONS<br />

In this paper, we evaluated three kinds of adhesive material<br />

as well as two cap materials for COB packaging of MEMS<br />

microphone. According to the simulations results, the<br />

thickness of adhesive material is the critical parameter for<br />

controlling the thermal stress in the diaphragm during heating<br />

process, and the 2025D adhesive material provides lower<br />

thermal stress in the diaphragm due to the lower CTE<br />

mismatch between silicon and adhesive. However, owing to<br />

the high Young’s modulus of 2025D adhesive material, the<br />

influence of thermal deformation from bottom PWB cannot<br />

be neglected as thin thickness of 2025D. In contrast, a low<br />

Young’s modulus adhesive materials, such as 3140RTV and<br />

DA6501, effectively isolates the silicon chip with less<br />

influence of thermal deformation from bottom PWB as the<br />

buffer layer effect. However, the thickness of soft adhesive<br />

needs to be controlled in a low level to prevent the thermal<br />

stress in the diaphragm rising with thickness. Consequently, a<br />

soft adhesive material with low CTE value is better for COB<br />

packaging of MEMS device with diaphragm, such as<br />

microphones, pressure sensors and so on.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Nanotechnology. In 2004, he joined the Electronics Research<br />

Organization and Service (ERSO) at ITRI, where he conducted<br />

development of the MEMS microphone and SAW based<br />

biosensor. In 2005, he was recruited by the Department of<br />

Mechanical Engineering and Institute of Nanotechnology at the<br />

Southern Taiwan University as an Assistant Professor. Now he<br />

is an Associate Professor and leads the Micro and Nano Sensing<br />

Technology Lab (MANST Lab). His research interests focus on<br />

flexible tactile sensors, Roll-to-Roll imprinting technology, and<br />

DEP chips for single-cell-based biosensors. He has published<br />

over 80 papers in different international journals and<br />

conferences and has owned 10 patents in biosensor and tactile<br />

sensor technology.<br />

Dr. Chuang won two Special Awards of HIWIN Thesis<br />

Award in 2007 and 2008 as well as two best conference paper<br />

awards of 3 rd IEEE NEMS in 2008 and Taiwan automation<br />

conference in 2010.<br />

REFERENCES<br />

1. Tom Tuhus, Are Bjomeklett, ”thermal Cycling Reliability of Die<br />

Bonding Adhesives”, Proceedings of IEEE/IRPS, pp.204-208, 1993<br />

2. M. Li, Q. Huang, J. Song, J. Tang, F. Chen, ”Theoretical and<br />

Experimental Study on the Thermally Induced Packaging Effect in<br />

COB Structures”, Proceedings of Electronic Packaging Technology.<br />

ICEPT '06. 7 th , pp1-5, 2006.<br />

3. Andrew A. O. Tay, K. Y. Goh, ”A Study of Delamination Growth in the<br />

Die-Attach Layer of Plastic IC Packages Under Hygrothermal Loading<br />

During Solder Reflow”, Proceedings of IEEE Transactions on Device<br />

and Materials reliability, vol. 3, no. 4,pp.144-151, December 2003<br />

4. Z. G. Sun, W. D. Huang, Y. Jiang, L. Luo, ”Evolution of<br />

Residual-Inplane Stress during Adhesive Curing and Recuring in<br />

Chip-on-Board Packages”, Journal of ELECTRONIC MATERIALS,<br />

Vol. 31, No. 8,pp.887-894, 2002<br />

5. M. S. Zarnik, D. Rocak, and S. Macek, “Residual stresses in a<br />

pressure-sensor package induce adhesive material during curing: a case<br />

study”, Proceedings of Sensors and Actuators A, Vol. 116, pp. 442-449,<br />

2004.<br />

6. J.B. Xu, Y.L. Zhao, Z.D. Jiang, ”Analysis of the Packaging Stresses in<br />

Monolithic Multi- Sensor”, Proceedings of the 2nd IEEE International<br />

Conference on Nano/Micro Engineered and Molecular Systems,<br />

pp.241-244, 2007.<br />

7. Z. Y. Zhang, Z. Wan, C. Liu, G. Cao, Y. Lu and S. Liu , “Effects of<br />

Adhesive Material on the Output Characteristics of Pressure Sensor ”,<br />

Proceedings of 11th International Conference on Electronic Packaging<br />

Technology & High Density Packaging, pp.657-660, 2010.<br />

Biography:<br />

Cheng-Hsin Chuang (M’04) received his<br />

B.S. degree and Ph.D. degree from the<br />

National Cheng Kung University in 1995<br />

and 2002, respectively, both in Civil<br />

Engineering. He then held the Postdoctoral<br />

research scholarship with the Center for<br />

Micro/Nano Science and Technology at<br />

NCKU, where he held the lead position in<br />

the core facilities for MEMS fabrication and<br />

126


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Table1. Material properties for simulation.<br />

2025D DA 6501 3140RTV Epoxy Substrate Membrane PWB LCP cap Metal cap<br />

Material Silica Silicone Silicone Epoxy Silicone Poly-si FR4 LCP Ni<br />

Conductivity<br />

0.4 0.18 0.14 0.92 124 e-6 30 e-6 3 e-7 1.6 e-6 607 e-6<br />

(W/mK)<br />

Density<br />

2e-006 1e-006 1.03e-006 1.8e-006 2.329 e-6 2.33 e-6 1.9 e-6 2.7e-3 8.8 e-3<br />

(Kg/mm 3 )<br />

Elasticity<br />

Young’s<br />

modulus<br />

(MPa)<br />

Poissson<br />

Ratio<br />

Expansion<br />

(ppm/℃)<br />

Specific Heat<br />

(J/Kg-℃)<br />

410 (25℃)<br />

60 (100℃)<br />

40 (150℃)<br />

70 (200℃)<br />

120 (250℃)<br />

120 (300℃)<br />

0.88 2.918 [6] 3 e4 1.31 e5 1.50 e5 1.6 e4 68.8 [6] 2.07 e5<br />

0.3 0.2 [6] 0.24 [6] 0.3 0.28 0.22 0.28 0.31 [6] 0.31<br />

0(0℃)<br />

48(42℃)<br />

140(43℃)<br />

140(260℃)<br />

674 674<br />

(Assume<br />

same with<br />

2025D)<br />

300 315 9 (20℃)<br />

9 (135℃)<br />

35 (136℃)<br />

35 (260℃)<br />

674<br />

(Assume<br />

same with<br />

2025D)<br />

2.7 2.33 16 58.5873 0.131<br />

1000 702 710 1369 1000 460<br />

127


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Model of a voltage driven capacitive coupled micro<br />

electro-mechanical RF Switch<br />

P. Heeb a , W. Tschanun b , R. Buser a<br />

a Interstate University of Applied Sciences of Technology Buchs; Institute for Micro- and Nanotechnology, Buchs, Switzerland<br />

b Reinhardt Microtech AG, Wangs, Switzerland<br />

ABSTRACT<br />

A comprehensive and completely parameterised model is<br />

proposed to determine the related electrical and mechanical<br />

dynamic system response of a voltage driven capacitive coupled<br />

micro mechanical switch. An analytical approach is used<br />

throughout the modelling, providing representative coefficients<br />

in the set of two coupled time-dependent differential<br />

equations. The model also describes all the transferred energies,<br />

as e.g. the dissipated energy at the switch contacts and<br />

the re-feeded electrical power to the bias line. The determined<br />

switching dynamics is confirmed by experimental measurements,<br />

showing the validity of the model. The developed ohmic<br />

contact RF MEMS switch shows high isolation in the offstate<br />

and low insertion loss of in the onstate<br />

up to frequencies as high as . The presented<br />

model is intended to be integrated into standard circuit simulation<br />

software, allowing circuit engineers to design the switch<br />

bias line, minimizing induced currents and contact bouncing,<br />

as well as to find the needed dimensions of the mechanical<br />

structure, for a desired switching time and actuation voltage<br />

wave-form. Moreover, process related design rules can be<br />

automatically verified.<br />

I. INTRODUCTION<br />

RF MEMS are expected to allow for new circuit designs<br />

and higher integration density, enabling for a new generation<br />

of RF communication electronics. In particular, the RF<br />

switch excels in its high linearity and high intermodulation<br />

performance, resulting in an element for high sensitive and<br />

low noise circuitry, operating in the time-domain. Moreover,<br />

its architecture and implementation within a microstrip<br />

or coplanar waveguide offers the realization of lowloss<br />

matched circuits with a high cut-off frequency [1]. Especially,<br />

planar RF circuitry with integrated RF switches on<br />

alumina substrates has the potential to overcome the shortages<br />

of state-of-the art monolithic integrated RFIC’s, based<br />

on power consuming silicon technologies or expensive and<br />

heavy coaxial technology.<br />

On board RF switches allow passive networks to change<br />

their transfer characteristic, in order to match the impedance<br />

for highest transmit power, to tune the phase shift in<br />

an scanning antenna array or to shift filter band edges in reconfigurable<br />

filter for high isolation switches [2] [3] [4] [5].<br />

For the circuit design, modelling of these switches is<br />

compulsory. However, as compared to electronical<br />

switches, the characteristics of such micromechanical<br />

switches are determined by the coupling of their electrical<br />

and mechanical behaviour, which makes modelling much<br />

more complex. As compared to literature [6] [7], our model<br />

consists of lumped parameters and allows calculating the<br />

dynamics of movement and energy flows.<br />

II. THE EM-SYSTEM APPROXIMATION<br />

In order to understand and predict the time-dependent<br />

behaviour of a MEMS switch, a mathematical-physical<br />

model is presented.<br />

Figure 1: Underlying model of the electro-mechanical transducer<br />

128


11-13 <br />

May 2011, Aix-en-Provence, France<br />

The model is represented by the mechanical and the The transformation factor is primarily valid for the<br />

electrical equations, concatenated by the coupling terms, static case. Nevertheless, is an appropriate approximation<br />

and returns the time-dependent solutions for any physical in the time-dependent case, as long the cantilever bending<br />

quantity of interest. Figure 1 shows the underlying model moment and shape is not significantly altered by the damping<br />

of the electro-mechanical transducer. The RF switch discussed<br />

action.<br />

in this paper consists of a free-standing cantilever At the time , when the contact surfaces at the tip<br />

made of gold. The bias line impedance comprises a touch each other, the velocity at the tip changes its sign, reproducing<br />

series resistor and a series capacitor , whereas the<br />

the bouncing effects, well-known from mechani-<br />

variable capacitance is formed by the two electrodes,<br />

cal relays. Attention has paid to these changing boundary<br />

of the moveable cantilever, as one electrode, and the conditions while solving (1). The non-harmonic parametric<br />

bias line electrode used to actuate the switch, separated by conditions (3) for the differential equation (1), describing<br />

the gas and eventually a dielectric thin film. A voltage drop the movement of the tip, are used to reproduce bouncing, in<br />

across the gap<br />

causes the lever to bend case when a vibration of the cantilever itself after contact is<br />

downward, and enables this way mechanical and electrical not relevant. This turns out to be true after the calculations,<br />

contact at . During the switch off, a current is fed because the vibration frequency is much lower than the<br />

back to the bias line.<br />

bouncing frequency.<br />

III. THE MECHANICAL SUBSYSTEM<br />

For the modelling of the time-dependence of the MEMS<br />

switch, a 1-dimensional model with concentrated quantities<br />

is aimed. Therefore a representative point was defined.<br />

In order to calculate the lumped coefficients ,<br />

, and the lumped force , an analytical<br />

approach was applied. References on this topic can be<br />

found throughout various literature [8] [9] [10] [11]. From<br />

the derived lumped parameters , , and<br />

the force , it becomes possible to formulate the<br />

differential equation of motion (1).<br />

(1)<br />

The solutions of (1) for returns the timedependent<br />

displacement of the cantilever at the point . In<br />

order to determine the displacement at the cantilever tip,<br />

has to be scaled to . Hence, we define a transformation<br />

factor (2), which links the displacement and<br />

velocity along the -direction at to the displacement and<br />

velocity at the tip of the cantilever and vice versa.<br />

(2)<br />

(3a)<br />

(3b)<br />

In case of a vibrating cantilever, bouncing is not expected,<br />

and the cantilevers will resonate around the steadystate<br />

equilibrium position.<br />

IV. THE ELECTRICAL SUBSYSTEM<br />

Besides its mechanical part, the RF switch consists also<br />

of an electrical counterpart. The complete modelling of<br />

both parts, including forward and backward coupling, allows<br />

studying the influence of different actuation waveforms<br />

in more detail. The bias line treated in this model<br />

comprises the two serial components: a bias line resistor<br />

and a capacitor . The voltage at the actuation electrode<br />

is given by .<br />

Whereas the current in the bias line is the sum of two<br />

components (4), on one hand introduced by the reduction of<br />

the gap<br />

and thus, by the change of the capacitance<br />

, and on the other hand by a change of the electrode<br />

potential .<br />

(4)<br />

129


11-13 <br />

May 2011, Aix-en-Provence, France<br />

(5) chosen set of materials, the results are presented and exemplified<br />

in the following section.<br />

After substitution of into (5) and solving for the The actuation test signal applied to the system has a rising<br />

second derivative of the voltage a differential equation is<br />

edge of , a pulse width of and a trail-<br />

gained, containing the backward coupling, attributed to ing edge of .<br />

, and . represents<br />

the stacked dielectric, e.g. alumina with thickness adjoining<br />

A. Dynamics of Movement<br />

the air gap.<br />

Figure 2 shows the displacement curve of the cantilever<br />

at the position , whereas exhibits<br />

mechanical contact at<br />

. The trigger delay<br />

(6)<br />

of the trailing edge is set to . The velocity depicted<br />

in figure 3 shows two different resonating modes of<br />

the system, one in the down-state position, damped by the<br />

energy absorption by the contact and the squeeze film, and<br />

the second in the up-state position, damped by the squeeze<br />

V. ENERGY BALANCE<br />

film.<br />

The energy balance compares the total energy entering<br />

the system boundary with the sum of the energy<br />

components stored or dissipated in the system. Stored energy<br />

comprises the kinetic and potential component of the<br />

mechanical resonant structure , as well as the energy<br />

stored in the bias line capacitor and the moving<br />

variable capacitor . Dissipation terms are the squeezefilm<br />

damping , the bias line resistor and the absorbed<br />

energy by the contact .<br />

(7)<br />

can be calculated by integration of the absorbed<br />

momentum (31). With the momentum reflection coefficient,<br />

defined as<br />

, and the momentum<br />

absorption coefficient .<br />

(8)<br />

VI. SIMULATION RESULTS<br />

The mathematical model, implemented in Simulink, describes<br />

the switch dynamics by a 1-dimensional model. The<br />

model consists of two coupled subsystems, one describing<br />

the mechanical resonator, and the other representing the<br />

electrical bias line. For a specific switch geometry and a<br />

displacement z(l3,t) [um]<br />

1.4<br />

1.2<br />

1<br />

0.8<br />

0.6<br />

0.4<br />

0.2<br />

0<br />

-0.2<br />

-0.4<br />

-0.6<br />

0 10 20 30 40 50 60 70<br />

time [us]<br />

Figure 2: Displacement versus time of the cantilever contact tip.<br />

velocity dz(l3,t)/dt [um/us]<br />

0.5<br />

0.4<br />

0.3<br />

0.2<br />

0.1<br />

0<br />

-0.1<br />

-0.2<br />

-0.3<br />

-0.4<br />

-0.5<br />

0 10 20 30 40 50 60 70<br />

time [us]<br />

Figure 3: Velocity of the cantilever contact tip, showing oscillation in the<br />

down-state and the up-state, including damping caused by the squeezefilm<br />

and the energy absorption by the contact.<br />

130


B. Dynamics of Energy Flows<br />

For a layout designer of switching networks, figure 4<br />

provides a quantitative prediction of the energy consumed<br />

and released by the switch. Immediately after the driving<br />

voltage is applied, a major part of energy is stored in the<br />

electrical field of the variable capacitor. The energy dissipation<br />

along the bias line resistor, caused by the induced<br />

current, is around fifty times smaller than the energy dissipated<br />

by the squeeze-film damping and therefore negligible.<br />

During the transition from up-state to down-state, the<br />

potential and kinetic energy increase with increasing cantilever<br />

deflection and velocity. At the same time, energy is<br />

dissipated by the squeeze-film damping.<br />

int[Vext(t)*i(t)]dt [microWs ]<br />

3<br />

2<br />

1<br />

0<br />

0 10 20 30 40 50 60 70<br />

time [us]<br />

Figure 4: Total energy delivered to the system and fed back.<br />

From the mechanical point of view, the system can be<br />

optimised either, to provide fast switching, to dissipate<br />

minimal energy, to minimise the actuation voltage or to reduce<br />

the stored energy in the on-state of the system. From<br />

the electrical point of view, the electrical signal can be preprocessed<br />

offering a desired wave-form in order to control<br />

the re-feeded power level, or to minimize the oscillation<br />

amplitudes and contact bouncing.<br />

VII.<br />

x 10 -4<br />

MEASUREMENTS<br />

The developed ohmic contact RF MEMS switch shows<br />

high isolation in the off-state and a low insertion<br />

loss in the on-state up to frequencies as high as<br />

[12].<br />

All processing was carried out in industrial fabrication<br />

line. The measurement results validate the theoretical<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Figure 5: fabricated ohmic contact MEMS switch in CPW configuration.<br />

model presented in the previous sections. All measurements<br />

are performed with switches fabricated within the same<br />

batch (figure 5).<br />

In order to ensure the initial conditions and the assumptions<br />

used in the model, concerning geometrical aspects,<br />

scanning electron microscopy is used. Scanning electron<br />

microscopy allows identifying cantilever bending and verifying<br />

geometric dimensions.<br />

The accessible electrical triggering characteristics are<br />

described by the bias threshold voltage resulting in an electrical<br />

through-connection of the signal path, and the restoring<br />

voltage, disconnecting the signal path. When performing<br />

the measurement in ambient environment, possible interaction<br />

with humidity and contamination of organic compounds<br />

on the contact surfaces can provoke an excess of<br />

force to overcome the action of an adhering passivation<br />

layer.<br />

Finally, the model is validated by the dynamic system<br />

response: the transition time, defined as the time passed between<br />

the supply of the bias potential and the event of first<br />

charge transferred by the electrical contacts, and the switching<br />

time, defined as the time passed between the supply of<br />

the bias potential and the event of continuous charge transfer<br />

by the electrical contacts.<br />

The test setup uses a Keithley 2400 voltage source, powering<br />

the collector path of a 2SC2911 npn-transistor form<br />

SANYO. The transistor gate is controlled by a HP 3312A<br />

function generator, providing a frequency variable square<br />

signal of positive half-waves with an amplitude set to<br />

131


, ,<br />

. The rise time of the voltage, provided at the drain of <br />

the npn-transistor, biasing the DUT, is below 1 .<br />

The signal path of the DUT is excited by a sine wave<br />

with an amplitude of<br />

at a frequency of 1MHz, oscillating<br />

around ground potential. The sine signal is supplied<br />

by a HAMEG 8030. At the switched end of the signal line,<br />

the voltage is probed by a TDS 2012 oscilloscope.<br />

Transient electrical measurements (figure 6) were conducted<br />

with respect to the transition time, contact bouncing<br />

and the switching time. The probed signal line is charged<br />

due to the induced current driven by the voltage rising<br />

edge. Since the time constant of the measurement set-up is<br />

long compared to the switching time, the open signal line<br />

remains on potential, unless the switch rests in the downstate<br />

and makes ohmic contact. The transmitted sine signal<br />

indicates a transition time of and a first bouncing period<br />

of , which compares to the calculated theoretical<br />

values. The switching time is 22 . During the bouncing<br />

sequence, the signal transmission is interrupted two times.<br />

The contact time is approximately .<br />

The static electrical measurements provide information<br />

about the micro mechanical structure and the contact altering<br />

during the first 10 th of cycles. Figure 7 shows the bias<br />

threshold voltage (*) and the restoring voltage (o) of two<br />

different switches. Thereby, the switches have passed a<br />

80<br />

voltage [V]<br />

120<br />

110<br />

100<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

0 5 10 15 20 25<br />

number of cycles<br />

Figure 7: Bias threshold voltage (*) and restoring voltage (o) after annealing<br />

steps.<br />

thermal annealing of at after 4 cycles and<br />

again after 11 cycles. Following the cycle number 17, the<br />

switches are stored for 3 days at ambient conditions. It can<br />

be seen, that the second annealing step has no significant<br />

effect on the bias threshold voltage and the restoring voltage.<br />

Nevertheless, the storage at ambient can essentially affect<br />

the actuation behaviour.<br />

A decrease of the bias threshold voltage correlates with a<br />

decreasing contact force necessary to achieve electrical<br />

break trough. A decreasing hysteresis between bias threshold<br />

voltage and restoring voltage is an indication of lower<br />

attractive forces at the contacts, for example caused by capillary<br />

forces.<br />

bias line voltage [V]<br />

signal path voltage [mV]<br />

60<br />

40<br />

20<br />

0<br />

-10 -5 0 5 10 15 20 25 30 35<br />

time [us]<br />

60<br />

40<br />

20<br />

0<br />

-20<br />

-40<br />

-60<br />

-10 -5 0 5 10 15 20 25 30 35<br />

time [us]<br />

Figure 6: Actuation voltage, and transmitted signal along the switched<br />

path.<br />

VIII. DISCUSSION<br />

The theoretical model is in good agreement with the experimental<br />

data, when comparing the dynamics of movement,<br />

figure 2 to figure 6.<br />

An interaction between the ambient and the contact surfaces<br />

has been proven by their impact on the actuation behaviour.<br />

Supposing the adsorption of humidity or organic<br />

compounds on top of the contact surfaces demands for a<br />

certain static contact force [8], in order to squeeze the unwanted<br />

molecules and enable electrical contact. Thus, a<br />

higher bias voltage, compared to the so called pull-in voltage,<br />

and hence, a higher velocity and bouncing momentum<br />

is expected. In the reverse process, when restoring the<br />

structure and interrupting electrical contact, adhesive forces<br />

132


11-13 <br />

May 2011, Aix-en-Provence, France<br />

have to be surmounted, settle the restoring voltage little below<br />

workers in the European project SMARTIS (smart thin<br />

the bias threshold voltage.<br />

films on alumina<br />

substrates).<br />

IX.<br />

CONCLUSIONS<br />

REFERENCES<br />

The comprehensive mathematical-physical model introduced,<br />

demonstrates clearly the dynamic mechanism of the<br />

RF switch fabricated.<br />

The bias threshold voltage can significantly differ from<br />

the theoretical pull-in voltage in presence of a surface passivation<br />

layer, formed by organic contaminations, or due to<br />

contact alloy oxidation. From the small hysteresis, we can<br />

see, that the contact force has a significant influence on the<br />

bias threshold voltage and the restoring voltage. Additionally,<br />

a bias threshold voltage, well above the theoretical<br />

pull-in voltage, corroborates this hypothesis.<br />

Nevertheless, it can’t be eliminated yet, that the real<br />

stiffness coefficient differs from the theoretical value. Considering,<br />

that in terms of failure estimation, a variation of<br />

the cantilever thickness by 3%, would lead to a 9% deviation<br />

of the stiffness constant.<br />

In summary, our model fits well the dynamics of the fabricated<br />

switch. However, no exact comparison can be made<br />

due to the presence of humidity and contaminations, which<br />

essentially can affect the dynamics and static characteristics<br />

of the fabricated MEMS switch.<br />

Future work will consider contact conditions by hermetic<br />

packaging of the RF switch.<br />

ACKNOWLEDGEMENTS<br />

The authors acknowledge the support by the innovation<br />

promotion agency CTI of Switzerland for its financial contribution.<br />

The authors also wish to thank all project co-<br />

[1] G. M. Rebeiz and J.B. Muldavin, IEEE Microwave Magazine, 2 (4)<br />

59-71 (2001).<br />

[2] A. Pothier et al., Low-Loss 2-Bit Tunable Bandpass Filters Using<br />

MEMS DC Contact Switches, IEEE Trans. Microwave Theory and Tech.<br />

53, (2005).<br />

[3] E. R. Brown, RF-MEMS Switches for Reconfigurable Integrated Circuits,<br />

IEEE Transactions on Microwave Theory and Techniques, Vol. 46,<br />

No. 11, November 1998.<br />

[4] Vijay K. Varadan, RF MEMS and their applications, Wiley-<br />

Interscience 2003.<br />

[5] A. van Bezooijen, A GSM/EDGE/WCDMA Adaptive Series-LC<br />

Matching Network Using RF-MEMS Switches, IEEE Journal of Solid-<br />

State Circuits, vol.43, no.10, October 2008.<br />

[6] Z. J. Guo, N. E. McGruer and G. G. Adams, Modeling, simulation<br />

and measurement of the dynamic performance of an ohmic contact, electrostatically<br />

actuated RF MEMS switch, Journal of Micromechanics and<br />

Miroengineering, 2007.<br />

[7] S. Halder, C. Palego, Z. Peng, J. C. M. Hwang, D. I. Forehand and C.<br />

L. Goldsmith, Compact RF Model for Transient Characteristics of MEMS<br />

Capacitive Switches, IEEE Transactions on Microwave Theory and Techniques,<br />

Vol. 57, No. 1, January 2009.<br />

[8] G. M. Rebeiz, RF MEMS Theory, Design, and Technology, John<br />

Wiley & Sons (2003).<br />

[9] I. Szabó, Höhere Technische Mechanik, Springer, Berlin 2001<br />

[10] J. B. Starr, Squeeze-Film Damping in Solid-State Accelerometers,<br />

Tech. Digest, IEEE Solid State Sensor and Actuator Workshop, 44-47<br />

(1990).<br />

[11] P. G. Steeneken et al., Dynamics and squeeze film damping of a capacitive<br />

RF MEMS switch, Journal of Micromechanics and Microengineering<br />

15, 176-184 (2005).<br />

[12] M. El Khatib, A. Pothier, P. Blondy, Packaging of RF MEMS<br />

Switching Functions on Alumina Substrate, DTIP of MEMS & MOEMS,<br />

Stesa, Italy, 26-28. April 2006.<br />

133


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A Closed-Loop Micromachined Accelerometer<br />

Based on Thermal Convection<br />

Alexandra Garraud, Philippe Combette, Benoît Charlot, Pierre Loisel* and Alain Giani,<br />

Institut d’Electronique du Sud – UNIVERSITE MONTPELLIER 2 – CNRS UMR 5412<br />

Place E. Bataillon, 34095 Montpellier, France.<br />

*SAGEM D.S. 72-74 Rue de la Tour de Billy, BP 72, 95101-ARGENTEUIL<br />

Abstract- In this work, we present the frequency<br />

analysis of a micromachined thermal accelerometer<br />

based on convection. Open-loop block diagram<br />

representation is first introduced to explain the sensor<br />

behavior. New sensor architecture is imagined to<br />

enhance sensor characteristics: a closed-loop<br />

configuration is designed by addition of two resistors<br />

closed to detectors. Effects on thermal sensitivity and<br />

bandwidth are investigated.<br />

I. INTRODUCTION<br />

In recent years, a new concept of accelerometer based on<br />

thermal exchanges has been intensively studied. The<br />

physical principle is based on a hot gas bubble acting as a<br />

proof mass. Under acceleration, free-convection transfers<br />

are modified and induce the bubble motion. Like other<br />

transduction mechanisms, such as piezoelectricity,<br />

piezoresistivity or capacitive sensing [1], it converts<br />

acceleration into electrical signal. But the absence of<br />

mechanical clamping between the gas and the chip induces<br />

no stress concentration. This leads to higher shock<br />

reliability. In addition, its simple structure allows low<br />

fabrication costs and competitive performances [2]–[3].<br />

Previous studies have focused on the influence of several<br />

parameters on both sensitivity and frequency bandwidth of<br />

the thermal accelerometer: nature and pressure of gas,<br />

cavity volume, detectors’ dimensions [4–6]. The highest<br />

– 3 dB bandwidth of a thermal accelerometer was 120 Hz<br />

with a standard gas filled cavity configuration [7] and more<br />

recently 320 Hz with a helium-filled cavity configuration<br />

[6]. But these high bandwidths go with low sensitivities<br />

due to a constant sensitivity-bandwidth product.<br />

This present article will present a way to improve<br />

bandwidth without reducing sensitivity by introducing a<br />

closed-loop configuration in the sensor functioning. In a<br />

first time, we will present the open-loop system and then<br />

we will explain how to improve the system with feedback.<br />

II. SENSOR PRINCIPLE<br />

The thermal accelerometer, described in Fig. 1, is based<br />

on natural free convection in a closed chamber containing a<br />

gas. It contains a heating resistor suspended over a cavity<br />

etched on silicon, providing thermal isolation. When the<br />

resistor is supplied by an electrical current, it heats up the<br />

surrounding gas creating a symmetrical temperature<br />

distribution.<br />

Fig. 1. Schematic diagram of the micromachined thermal accelerometer.<br />

When no acceleration is applied, the system is balanced<br />

so that two temperature detectors placed on either side give<br />

the same value, as shown in Fig. 2 by the straight line.<br />

When the sensor is subjected to an acceleration Γ, the<br />

temperature profile shifts, as can be seen in Fig. 2, and the<br />

balance in free-convection heat transfer is modified. The<br />

two detectors don’t measure the same temperature anymore<br />

and this temperature difference δT is correlated to the<br />

acceleration by the sensitivity S equal to δT/Γ (°C/g).<br />

Fig. 2. Schematic diagram of the micromachined thermal accelerometer.<br />

Fig. 3 shows a SEM image of the device. We notice the<br />

suspended wires standing over the micromachined cavity.<br />

The device contains two pairs of suspended bridges on<br />

each side of the heater resistor. The cavity is obtained by<br />

KOH wet anisotropic etching of the silicon (100) oriented<br />

and measures typically 1000 μm x 2000 μm for a depth of<br />

800 μm. The heater (100 μm wide) and detectors (20 μm<br />

wide) are made of a 300 nm thick platinum layer (including<br />

134


11-13 <br />

May 2011, Aix-en-Provence, France<br />

Cr adhesion layer) deposited on a 500 nm thick low stress<br />

<br />

silicon nitride membrane (SiN x ) [8]. The SiN x layer has<br />

been chosen for its low stress level allowing flat standing<br />

structures. More details concerning the manufacturing<br />

process may be found in [5].<br />

Fig. 5. Typical Bode diagram of an open-loop configuration.<br />

Fig. 3. Scanning Electron Microscope (SEM) image of a thermal<br />

accelerometer, with two pairs of detectors, each of them having a different<br />

use.<br />

III. FROM AN OPEN-LOOP CONFIGURATION…<br />

The accelerometer principle can be modelled with an<br />

open-loop block diagram, as described in Fig. 4. In this<br />

case, only detectors wires as described in Fig. 3 are<br />

required, that is to say the ones closest to the heater.<br />

An acceleration Γ applied on the sensor leads to a<br />

modification of convection heat transfers among the gas.<br />

Then detectors are subjected to a temperature variation<br />

which induces a variation of their electrical resistance. As<br />

detectors are assembled in a Wheatstone bridge, it results in<br />

an output voltage variation.<br />

Fig. 4. Block Diagram of the open-loop system.<br />

With this configuration, we obtain the frequency<br />

response as the one obtained in Fig. 5 and characteristics of<br />

thermal accelerometer presented in section 2 are the<br />

following:<br />

- sensitivity in bandwidth: S 0 = 100 mV/g or<br />

0.0445 °C/g;<br />

- cut-off frequency at -3 dB: F c = 66 Hz.<br />

IV. …TO A CLOSED-LOOP SYSTEM<br />

In [6], we established that sensitivity-bandwidth product<br />

is constant for a given cavity size: it is impossible to have<br />

both a large sensitivity and a large bandwidth. A way to<br />

improve frequency bandwidth without reduction of thermal<br />

sensitivity is to adopt a closed-loop configuration. Two<br />

solutions can be designed.<br />

The first one is to include a typical thermal<br />

accelerometer with two detection wires and a sigma-delta<br />

modulator which assures the feedback [9]. But no<br />

experimental results are presented in this reference.<br />

The second solution is the one chosen here: inverse<br />

feedback is directly implemented in the sensor itself by<br />

addition of two other platinum suspended bridges, placed<br />

closed to detection wires, as shown in Fig. 3 and named<br />

feedback resistors. Their aim is to maintain the temperature<br />

difference between the two detectors, δT, equal to zero<br />

when an acceleration is applied to the sensor. This<br />

technical solution has led to two patents: [10] and [11].<br />

A current is injected in each feedback resistor to hardly<br />

increase their temperature and as a result the temperature of<br />

the closest detector. When the sensor is submitted to an<br />

acceleration, one detector gets warm while the other cools<br />

off. Thus less current is injected in the feedback resistor<br />

placed closed to the hottest: the detector temperature<br />

decreases. In the other feedback resistor, the same amount<br />

of current is added to the equilibrium current to warm the<br />

nearby detector. As a consequence the two detector<br />

temperatures are maintained in their equilibrium value.<br />

Block-diagram description of the feedback loop and its<br />

effect on detectors is presented on Fig. 6. The feedback<br />

resistor temperature is modified by the variation of the<br />

nominal injected electrical power. This induces a<br />

modification of heat-transfer around these suspended<br />

bridges which is then caught by detectors with a<br />

modification of their global temperature, δT(δP).<br />

135


Fig. 6. Block diagram of the feedback loop.<br />

Synoptic view is resumed in Fig. 7 with the complete<br />

block diagram of the closed-loop system. Abbreviations<br />

mentioned are the ones used in Fig. 4 and Fig. 6.<br />

Henceforth, output voltage is a function of the electrical<br />

power required to maintain a zero temperature difference<br />

between the two detectors.<br />

Fig. 7. Block diagram of the closed-loop system.<br />

With this closed-loop configuration, we perform a<br />

frequency analysis, visible in Fig. 8, of the accelerometer<br />

presented in section 2. A sensitivity S 1 = 76 mV/g or<br />

0.034 °C/g closed to the open-loop configuration one is<br />

obtained while the cut-off frequency has increased by more<br />

than a factor 15 with a value F c = 1025 Hz. In this case, a<br />

resonance appears that would disappear with better settings<br />

on the PID controller. Nevertheless, this bandwidth result is<br />

the larger never obtained with a thermal accelerometer. It is<br />

ten times larger that could be found in the literature.<br />

Fig. 8. Closed-loop frequency response.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

V. CONCLUSION<br />

This work investigates the behavior improvement<br />

brought by a closed-loop configuration when using a<br />

MEMS thermal accelerometer. This sensor was<br />

micromachined by micro-electronics techniques. In a first<br />

time, we studied the open-loop configuration with typical<br />

characteristics. A closed-loop structure has been conceived<br />

to improve bandwidth with no sensitivity reduction.<br />

Feedback loop is directly included in this sensor<br />

architecture by addition of two resistors close to detectors.<br />

Modulation of the electrical power injected allows<br />

temperature profile to remain symmetric. Experimental<br />

measures prove that we can achieve a large bandwidth for a<br />

system based on thermal exchanges with no modification<br />

of thermal sensitivity.<br />

REFERENCES<br />

[1] J. Fraden, Handbook of Modern Sensors. Woodbury, New York:<br />

American Institute of Physics, 1998.<br />

[2] A.M. Leung, J. Jones, E. Czyzewska, J. Chen and B. Woods,<br />

“Micromachined accelerometer with no proof mass,” Digest Tech.<br />

Papers International Electron Devices Meeting, Conference,<br />

Washington, DC, USA, December 7–10, 1997, pp. 899–902.<br />

[3] X.B. Luo, Y.J. Yang, F. Zheng, Z.X. Li and Z.Y. Guo, “An<br />

optimized micromachined convective accelerometer with no proof<br />

mass,” J Micromech Microeng, vol. 11, no. 5, pp. 504–8, 2001.<br />

[4] F. Mailly, A. Martinez, A. Giani, F. Pascal-Delannoy and A.<br />

Boyer “Effect of gas pressure on the sensitivity of a<br />

micromachined thermal accelerometer,” Sensor Actuat A-Phys,<br />

vol. 109, pp. 88–94, 2003.<br />

[5] J. Courteaud, P. Combette, N. Crespy, G. Cathebras and A. Giani,<br />

“Thermal simulation and experimental results of a micromachined<br />

thermal inclinometer,” Sensor Actuat A-Phys, vol. 141, pp. 307–<br />

313, 2008.<br />

[6] A. Garraud, P. Combette, F. Pichot, J. Courteaud, B. Charlot and<br />

A. Giani, “Frequency response analysis of an accelerometer based<br />

on thermal convection,” J. Micromech Microeng, 21 (2011)<br />

035017.<br />

[7] J. Courteaud, N. Crespy, P. Combette, B. Sorli and A. Giani,<br />

“Studies and optimization of the frequency response of a<br />

micromachined thermal accelerometer,” Sensor Actuat A-Phys,<br />

vol. 147, pp. 75–82, 2008.<br />

[8] P. Temple-Boyer, C. Rossi, E. Saint-Etienne and E. Scheid,<br />

“Residual stress in low pressure chemical vapor deposition SiNx<br />

films deposited from silane and ammonia,” J Vac Sci Technol A,<br />

vol. 16, no. 4, pp. 2003-2007, 1998.<br />

[9] O. Leman, L. Latorre, F. Mailly and P. Nouet, “A Closed-Loop<br />

Architecture with Digital Output for Convective Accelerometers,”<br />

Proceedings of IEEE Computer Society Annual Symposium on<br />

VLSI, Montpellier, France, April 07-09, 2008, pp. 51-56.<br />

[10] J. Dido, P. Loisel, A. Renault, P. Combette, J. Courteaud and A.<br />

Giani, "Thermal cell system for measuring acceleration", United<br />

States Patent 7469587, to Sagem Defense Securite (Paris, FR),<br />

2008.<br />

[11] C. Gervais, A. Renault, B. Varusio, A. Boyer and A. Giani,<br />

“Thermal measure of acceleration, speed, position or inclination<br />

uses a predetermined volume of heated fluid, compensates for<br />

reduction in temperature due to acceleration by using auxiliary<br />

heaters”, FR2832802 - 2003-05-30<br />

136


11-13 May, Aix-en-Provence, France<br />

<br />

PANEL DISCUSSION<br />

TEXTILE MICROSYSTEMS<br />

INTRODUCTION: ELECTRONICS MEETS TEXTILES - CHALLENGES AND OPPORTUNITIES<br />

Erik JUNG, Fraunhofer IZM, Germany<br />

PASTA-BRIDGING THE GAP BETWEEN TEXTILE AND ELECTRONICS<br />

Dominique VICARD, CEA-LETI, France<br />

PIEZOELECTRIC CHARGING FOR SMART FABRIC APPLICATIONS<br />

Ross HACKWORTH, R. MAXWELL, R. KOTHA, A.Arturo AYON, U. of Texas at San Antonio, USA,<br />

J. R. MORIERA, U. of California, Santa Barbara, USA<br />

HIGH VOLUME, LOW COST: TEXTILE INTEGRATION FOR RFID<br />

Christine KALLMAYER, Fraunhofer IZM, Germany<br />

METER-SCALE SURFACE CAPACITIVE TYPE OF TOUCH SENSORS FABRICATED BY WEAVING CONDUCTIVE-<br />

POLYMER-COATED FIBERS<br />

Seiichi TAKAMATSU1, Takeshi KOBAYASHI1,2, Nobuhisa SHIBAYAMA1, Koji MIYAKE1,2 and Toshihiro ITOH1,2<br />

1. Macro BEANS Center, BEANS Laboratory, Tsukuba, Ibaraki, Japan<br />

2. UMEMSME, National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki, Japan<br />

SMALL VOLUME, HIGH TECH: INTELLIGENT TEXTILES FOR HEALTH AND WELLNES<br />

Guofu ZHOU, Philips<br />

137


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Piezoelectric Charging for Smart Fabric<br />

Applications<br />

1<br />

R. Hackworth , J. R. Moriera, R. Maxwell, R. Kotha, and A.A. Ayon, Member, IEEE<br />

Abstract— Our current research includes an innovative design<br />

and fabrication method for a wearable piezoelectric power<br />

generating fabric. Rather than building a flexible piezoelectric<br />

device and then applying it onto clothing as reported by other<br />

groups, our approach is to integrate the devices directly with the<br />

fabric while requiring no processing temperatures over 150 ˚C.<br />

The device discussed here simply consists of a piezoelectric layer<br />

encapsulated between a bottom electrode/wearable fabric and a<br />

top layer electrode/conductive film layer.<br />

Index Terms—Charge generation and storage, polyvinylidene<br />

fluoride (PVDF), piezoelectric materials, smart fabrics.<br />

I. INTRODUCTION<br />

e report on the feasibility of employing flexible PVDF<br />

Wpiezoelectric membranes to be used to generate<br />

electrical charge for powering portable electronics. By<br />

converting some of a person’s naturally expended mechanical<br />

energy into useful electrical energy, the batteries currently in<br />

use in portable electronic devices may be minimized, as well<br />

as made efficiently green. Ideally, these devices will be<br />

wearable and light-weight. The energy generation will<br />

originate from the exploitation of the piezoelectric effect of<br />

certain materials such as PVDF due to their naturally<br />

occurring deformation as shown on Fig. 1.<br />

a commercially available fabric enable greater comfort for the<br />

end-user in a wearable energy harvester. Research has largely<br />

focused on PVDF in cyclopentanone as a solvent due to its<br />

natural flexibility compared with that of any other<br />

piezoelectric materials we tested so far (which include zinc<br />

oxide, barium titanate, et al..). For optimal piezoelectric<br />

effects the PVDF film needs to be in the β-crystalline phase<br />

[1]. PVDF is usually observed in one of the four main phases,<br />

but only the β-phase is expected to possess strong d 31 ,<br />

properties which are critical requirements in building a<br />

practical prototype. The beta phase is generally formed by<br />

poling the polymer at a high voltage while stretching it. When<br />

the alpha phase is poled the dipoles align and for the delta<br />

phase. Upon stretching the bonds in the chains will reorient<br />

themselves into an all trans configuration. To convert the<br />

largely α-phase PVDF into β-phase requires aligning the<br />

polymer chains into the all trans phase structure as in Fig. 2.<br />

To achieve this, the cured PVDF can be simultaneously<br />

stretched and poled [2, 3] while at elevated temperature to<br />

induce more of the bulk membrane into the stronger<br />

piezolelectric β- phase [4].<br />

Fig. 2. β-phase PVDF.<br />

Fig. 1. The piezoelectric effect.<br />

Multiple options for fabric substrates, bottom and top<br />

electrodes, piezoelectric materials, as well as with the methods<br />

by which they were fabricated were investigated. Our<br />

observations indicate that the most successful bottom<br />

electrode and fabric combination found so far consists of a<br />

commercial polyester fabric coated through an electroless<br />

deposition of nickel followed by copper. The characteristics of<br />

Manuscript received April 8, 2011.<br />

Thanks go to: Army Research Office<br />

UTSA MEMs lab<br />

II. MATERIALS<br />

We start with the discussion of multiple options for fabric<br />

substrates, bottom and top electrodes, and piezoelectric<br />

materials, along with the methods [5] in which they are<br />

fabricated.<br />

Many commonly available fabrics and their melting points,<br />

flash points, and decomposition temperatures were evaluated.<br />

These fabrics were initially studied due to their ability to<br />

withstand temperatures in excess of 150˚C, which is close to<br />

the upper temperature range that any PVDF processing should<br />

require. The curie temperature and melting point of PVDF are<br />

close to each other. The currently accepted answer is a curie<br />

temperature of about 150˚C and a melt temperature of 175˚C.<br />

According to the manufacturer (Solef), devices made from<br />

PVDF typically have a maximum usage temperature of about<br />

138


80˚C. Additionally, we looked into military fatigues and their<br />

fabric compositions as well as a cotton polyester fabric coated<br />

with nickel and copper. The military fatigues come in a variety<br />

of compositions which might convolute testing, but the<br />

FlecTron® Nickel/Copper fabric boasts strong characteristics<br />

such as a high surface conductivity of less than 0.1 Ohms/sq.,<br />

and can withstand temperatures up to 200°C as shown in<br />

Table 1. The fabric is also flexible, lightweight, breathable,<br />

durable, washable, and tear resistant. Since the fabric is coated<br />

with an electroless metal layer that is conductive it also serves<br />

as the bottom electrode for the piezoelectric circuit as well as<br />

the substrate/wearable garment.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

PVDF/TrFE (from Solef, see spec sheet in Appendix A) in<br />

cyclopentanone was chosen for its flexibility and durability as<br />

well as for its piezoelectric properties and required processing<br />

temperatures. Other materials tested such as zinc oxide were<br />

brittle and cracked, thus failing any fatigue testing. Others<br />

were too rigid to deform in such a way that would make them<br />

practical in this application. The cyclopentanone has a<br />

relatively high boiling point compared with other solvents, as<br />

well as a strong ability to dissolve PVDF.<br />

III. FABRICATION<br />

To prepare the PVDF solution enough powdered<br />

PVDF/TrFE) is added to a cyclopentanone solvent to produce<br />

a 15 wt% PVDF solution. The mix is then heated to 70˚C<br />

while being magnetically stirred in a sealed container until the<br />

PVDF is completely dissolved (~4 hrs). For testing purposes,<br />

membranes were created by spin coating the PVDF solvent<br />

onto a silicon wafer and allowing to cure at 70˚C for 4 hours,<br />

which produces a ~6um membrane when the spin coater is set<br />

to 500 rpm.<br />

2<br />

Table 1. FlecTron properties (from manufacturer, 2010).<br />

There are four possible crystalline phases for PVDF: alpha,<br />

beta, and gamma are the most common [6]. Of these, only the<br />

beta phase is piezoelectric. The beta phase is formed when alltrans<br />

polymer chains pack themselves into an orthorhombic<br />

crystalline lattice. Because all the fluorine atoms are on the<br />

same side of the backbone the lattice lacks a center of<br />

symmetry causing net dipole moment. Upon crystallization<br />

out of the melt the most common phase is the alpha phase. The<br />

chains in the alpha phase are of the form trans-gauche-transgauche.<br />

They also pack into an orthorhombic lattice because<br />

the fluorine atoms alternate sides of the backbone therefore<br />

exhibiting no net dipole, and thus not piezoelectricity.<br />

Fig. 4. Gold coated PVDF membrane in flexing test jig.<br />

To minimize any bubbles in the film, the just spin coated<br />

wafer was placed in a vacuum desiccators for 2 minutes prior<br />

to curing. Finally, 100 nm gold was sputter coated on the<br />

membrane at room temperature to form the top electrode as<br />

shown in Fig. 4. The bottom electrode can be sputter coated<br />

onto the silicon wafer prior to the spin coat of PVDF if<br />

desired, as it will adhere to the PVDF when the membrane is<br />

separated from the silicon substrate. The electrodes were then<br />

connected using copper wire bonded to the gold electrodes<br />

using silver conductive epoxy (cross section shown in Fig. 5).<br />

Fig. 3. Solef brand PVDF crystalline phase diagram.<br />

139


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Fig. 6. Schematic diagram of test set up.<br />

3<br />

Fig. 5. FlecTron conductive fabric coated with PVDF and<br />

gold upper electrode.<br />

IV. POLING<br />

To aid in the transition from α-phase to β-phase, our cured<br />

PVDF membrane was placed in a Lloyd Instruments tensile<br />

tester and stretched in length 5%. Poling plates were placed on<br />

each side of the 6um membranes. A poling voltage of greater<br />

than 25V/um is desired. The sample was then stretched, an<br />

enclosure put in place, and the environmental temperature of<br />

the enclosure was raised to 80˚C. The poling voltage was then<br />

applied for 90 minutes, before reducing the temperature and<br />

allowing the environment return to ambient lab conditions<br />

before removing the poling voltage.<br />

V. TEST FIXTURES<br />

The test set up shown in Figs. 6 & 7 consists of a linear<br />

stepper motor that cycles back and forth at microprocessor<br />

controlled frequency to cause a deformation in the test sample.<br />

The frequency is set to 1 Hz for these tests. We then start the<br />

motor deforming the 6 um thick membrane (2 inch diameter)<br />

of PVDF (combined with 100 nm sputtered gold electrodes on<br />

the top and bottom surfaces to allow for the electrode<br />

contacts) which causes a charge separation in the piezoelectric<br />

membrane that can be recorded and/or used to charge a<br />

battery. The contacts were connected to one of the following<br />

at a time: a data collection device, a rechargeable battery, an<br />

energy harvesting circuit [7], or a capacitor as required for<br />

energy storage and evaluation.<br />

The basic energy harvesting circuitry is shown in Fig. For<br />

evaluation purposes the electrodes were connected to a DAC<br />

system to determine and record the voltage output. X-Ray<br />

diffractometry (XRD), Fourier transform infra-red (FTIR), and<br />

scanning electron microscopy (SEM) [8] tools were used to<br />

evaluate the crystalline orientation for phase information, as<br />

well as thickness and consistency of the membranes.<br />

Additionally, an optical microscope was used to determine if<br />

there were any large imperfections in the polymer films.<br />

Fig. 7. Actual test set up.<br />

VI. RESULTS<br />

As shown in figure 3, the membrane generates a peak to peak<br />

voltage of approximately 200 mV, with a background noise<br />

level of ≤40 mV. Composition was verified using EDX, FTIR,<br />

and XRD as shown in fig. 8. Thickness was measured on a<br />

Rudolph ellipsometer and verified by measuring a gold<br />

sputtered cross-section of a PVDF membrane.<br />

800<br />

750<br />

700<br />

Intensity (cps)<br />

Intensity (cps)<br />

650<br />

600<br />

550<br />

500<br />

450<br />

400<br />

350<br />

300<br />

250<br />

200<br />

150<br />

100<br />

50<br />

0<br />

18 19 20 21 22<br />

116<br />

66<br />

16<br />

-34<br />

-84<br />

[3]<br />

-134<br />

18 19 20 21 22<br />

2-theta (deg)<br />

Fig. 8. XRD showing a 2θ peak of > 20.1˚.<br />

140


The XRD shows a peak above the 2θ = 20˚ angle associated<br />

with the α-phase, but well lower than the 2θ = 21˚ associated<br />

with the β-phase Clearly the PVDF hasn’t made the complete<br />

transition from alpha phase to beta phase, but it may have<br />

started the transition. In a number of samples we experienced<br />

PVDF precipitates or other imperfection, such as small voids<br />

which disqualified the samples from further testing. As for<br />

FTIR measurements no clear interpretation has been obtained<br />

between unpoled and poled PVDF for our test samples. The<br />

test sample of gold coated PVDF membrane has withstood<br />

over an hour of testing in the flexing test jig and shows no<br />

signs of fatigue yet. Ultimately, the details for applying PVDF<br />

to the fabric substrate require waiting until we identify the best<br />

method for poling the PVDF solvent while curing and in situ.<br />

One of last test suggests dominant d 33 [9] properties as it<br />

stretched in the test jig showing piezoelectricity, as opposed to<br />

the d 31 parameter that we are looking for. This is important<br />

due to the method of deformation. What is occurring is<br />

stretching as opposed to bending as would be natural with a<br />

fabric. The membrane is clearly piezoelectric, as can be seen<br />

in Fig. 8, but not yet in the β-phase required for better power<br />

generation.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

APPENDIX<br />

David Elam<br />

Greg Collins<br />

James Benson<br />

Dr. C.L. Chen<br />

Dr. A. Chabanov<br />

ACKNOWLEDGMENT<br />

4<br />

Fig. 8. Voltage generated from membrane at 1 Hz frequency.<br />

VII. CONCLUSION<br />

The membrane generated a charge due to its piezoelectricity.<br />

The in-situ poling and curing show promise largely because<br />

PVDF shrinks during curing, causing stress, strain. We<br />

continue to test new methods such as developing better in situ<br />

preparation of poling, curing, and heating simultaneously. We<br />

hope to complete the α-phase to β-phase process transition as<br />

efficiently as possible and apply that technique to the FlecTron<br />

fabric. Further research activities will consist of thin film<br />

optimization to maximize charge generation as well as<br />

extended testing to determine the useful life of the membranes<br />

produced. This is just the beginning of research into<br />

piezoelectric materials and applying what we learn towards<br />

improving our quality of life.<br />

REFERENCES<br />

[1] I. Elashmawi, N. Elsheshtawi, H. Abdelkader, N. Hakeem, Cryst. Res.<br />

Tech 2007, pp. 157–163<br />

[2] A. Kumar, and M. Perlman, J. Phys. D: Appl. Phys. 1992, pp.469-475<br />

[3] Y. Huan, Y. Liu, and Y. Yang, Polymer Eng. And Science 2007,<br />

pp.1630-1633<br />

[4] V.Sencadas, S. Lanceros-Mendez, J. Mano, Thermochimica Acta 2004,<br />

pp.201-207<br />

[5] V. Kochervinskii, V. Volkov, and K. Dembo, Physics of Solid State<br />

2006, pp. 1083-1085 (any par)<br />

[6] W. Yu, Z. Zhao, W. Zheng, B. Long, Q. Jiang, G. Li, X. Ji, Polymer<br />

Engineering and science 2009, pp.491-498<br />

[7] Y. Liu, G. Tian, Y. Wang, J. Lin, Q. Zhang, and H. Hoffmann, J.<br />

Intelligent Mat. Systems and Structures 2009, pp. 575-585<br />

[8] W. Ma, J. Zhang, X. Wang, and S. Wang, Applied Surface Science 2007,<br />

pp. 8377-8388<br />

[9] V. Kochervinskii, Crystallography Reports 2003, pp. 649- 675<br />

[10] Z. Wang, and J. Miao, J. Phys D: Appl. Phys. 2008, pp. 41-47<br />

141


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Meter-scale surface capacitive type of touch sensors<br />

fabricated by weaving conductive-polymer-coated<br />

fibers<br />

Seiichi Takamatsu 1 , Takeshi Kobayashi 1,2 , Nobuhisa Shibayama 1 , Koji Miyake 1,2 and Toshihiro Itoh 1,2<br />

1. Macro BEANS Center, BEANS Laboratory, Namiki 1-2-1, Tsukuba, Ibaraki 305-8564, Japan<br />

2. UMEMSME, National Institute of Advanced Industrial Science and Technology, Namiki 1-2-1, Tsukuba, Ibaraki<br />

305-8564, Japan<br />

phone: +81-29-868-3883, e-mail; stakamatsu@beanspj.org<br />

Abstract-We report on surface capacitive type of touch sensor<br />

fabric for large-area electronic devices. The fibers on which<br />

conductive and dielectric polymers were coated, were woven as<br />

wefts and warps in the pitch of 5 cm. The woven fabric sensed<br />

surface capacitances between the conductive polymer-coated<br />

fibers and human fingers and then the touched point was<br />

detected. To process long fibers (>100 m), we developed the<br />

die-coating technology applied to plastic fibers for coating<br />

conductive polymer of PEDOT:PSS and dielectric one of<br />

UV-curable adhesive. The resultant fibers were woven with<br />

automatic looming machines, forming meter-scale devices (1.2<br />

m × 3 m). The fabricated sensor fabric was examined on the<br />

detection of human touch. Then, the sensor observed surface<br />

capacitance of about 0.5 pF by touching sensors with a human<br />

finger. Therefore, our sensor will lead to meter-scale touch<br />

sensors and input devices for various electronic devices.<br />

Keywords: Large area electronics, die-coating, weaving,<br />

PEDOT:PSS, capacitive sensor<br />

I. INTRODUCTION<br />

In recent times, electronic textiles (e-textiles) that integrate<br />

sensors, actuators, antennas, and computers into fabrics have<br />

gained considerable attention because they have the<br />

advantage of instantly obtaining and providing information<br />

to humans [1-5]. In previous studies [1-3], touch sensors<br />

were integrated into clothing and they functioned as fabric<br />

keyboards of wearable computers. Another study [3]<br />

reported that push sensors were embedded into a carpet to<br />

provide humans with a sense of position, and computers and<br />

antennas were integrated into the fabric to enable<br />

communication. In addition to the advantage of e-textile’s<br />

functionality, textiles can cover extremely large-area (> 1<br />

m 2 ) because meter-scale fabric is woven by automatic<br />

looming machines. On the other hand the present MEMS<br />

sensor fabrication process can be applied for several inch<br />

wafers, but meter-scale wafer can not be processed because<br />

of the vacuum chamber size of manufacturing tools. The<br />

technology for printed circuit board can not be applied for<br />

meter scale devices due to its size of processing tools. The<br />

large area processing machines for liquid crystal display<br />

Figure 1. A conceptual sketch of large area touch sensors for detecting<br />

human motion. The sensor consists of conductive polymer and<br />

dielectric polymer-coated nylon fibers. The fibers are woven, forming<br />

sensor array sheet.<br />

offers highly integrated devices including pixel element and<br />

switching transistors, but its fabrication cost is extremely<br />

high in comparison with the fabrication of simple structures<br />

like touch sensors. Therefore, e-textiles are preferable for<br />

fabrication of large area sensors.<br />

Among these e-textiles, touch sensors play a key role<br />

because they function as human interface devices and<br />

human monitoring sensors in computers. In previous studies<br />

[1-3], many touch sensors have been developed by weaving<br />

copper wires as wefts and warps because of their simple<br />

structure and fabrication process. This type of touch sensors<br />

detect the electronic connection between wires under the<br />

applied pressure. However, the sensitivity of wire-woven<br />

sensors to touch input is not stable because the gaps between<br />

142


fibers which define the electric contact of touch sensors were<br />

formed in deformable fabric structure and were easily<br />

changed. Thus, more stable touch sensor has been required.<br />

Moreover, for the fabric type of sensor, copper wires are<br />

relatively hard to weave and more flexible material is<br />

preferable. In this study, we propose large-area and surface<br />

capacitive type of touch sensors for stable sensing<br />

mechanism (figure 1). The sensors detect the induced<br />

capacitances between fibers and human fingers and the gap<br />

between fibers does not affect the sensitivity (figure 2). The<br />

surface capacitance detection method is utilized for iphone<br />

or other portable systems for detecting human input with<br />

fingers. As a sensor structure, we proposes the conductive<br />

polymer of PEDOT:PSS and dielectric film of UV-curable<br />

adhesive are coated on the fibers and the resultant fibers are<br />

woven for forming sensor fabric. Since conductive polymer<br />

has the advantage of high flexibility, it is highly compatible<br />

to fabric. To solve the problem of the conventional MEMS<br />

process on the large area and high cost, a die-coating method<br />

to coat PEDOT:PSS and UV-curable adhesive on fibers is<br />

proposed, as a continuous coating process for long fibers.<br />

The solutions containing PEDOT:PSS and UV curable<br />

adhesive are put in the die and fibers travels through the die,<br />

coating the polymers on the fibers. And finally, coated fibers<br />

are woven with the automatic looming machines. Then, the<br />

fabricated sensor is examined on the sensitivity to sense the<br />

touch input.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

Figure 3. Fabrication process of large area touch sensors. (1)<br />

die-coating of PEDOT:PSS layer on nylon fiber, (2) Die-coating of<br />

UV-curable adhesive, (3) Plain weaving of resultant fibers with<br />

automatic looming machines<br />

Ⅱ. SENSOR DESIGN AND FABRICATION PROCESS<br />

Figure 2. Structure of fabric touch sensors and mechanism of surface<br />

capacitance type of touch sensors. Sensors detect capacitance change<br />

between fibers and fingers because human fingers are conductive and<br />

work as an electrode. The capacitor is formed between conductive film<br />

on fibers and human fingers.<br />

The sensor fabrication consists of reel to reel coating process<br />

of conductive and dielectric polymer with die-coating and<br />

weaving the resultant fibers (figure 3). The sensor structure<br />

where conductive polymer and dielectric films is coated<br />

onto the fibers and they are woven as warps and wefts. The<br />

fibers we used are 470 μm-diameter nylon, which is<br />

commercially available fishing line. Conventional fibers are<br />

manufactured with a standard of g/km and are not easy to<br />

apply to certain diameter dies, but fishing line is<br />

manufactured with a standard diameter and it is easy to fit<br />

the diameter of the die to the diameter of the fibers<br />

(Standards of the Japan Fishing Tackle Manufacturers<br />

<strong>Association</strong>). Conductive polymer of PEDOT:PSS is coated<br />

on the fiber and its thickness is around 300 nm because most<br />

of PEDOT:PSS electrodes are coated with the thickness of<br />

100 nm. The dielectric layer is 10 μm thick UV-adhesive<br />

polymer. The touch sensors’ spatial density is a pitch of 5 cm.<br />

The areas except for the PEDOT:PSS-coated fibers are filled<br />

with 205 μm diameter pristine nylon fibers to retain the<br />

shape of the fabric. The fabricated fibers are woven in the<br />

manner of plain weaving whose structure is simplest. The<br />

fibers are crossed alternately. The method to determine the<br />

pushed point is based on the detection of capacitance<br />

changes of all fibers. After detecting the pushed vertically<br />

placed fiber and horizontally placed fiber, the crossed point<br />

143


was the pushed place.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

Ⅲ. CHARACTERIZATION OF FABRICATION PROCESS<br />

The die-coating system and weaving system were<br />

characterized. In the die-coating system, we established a<br />

system of die-coating to form PEDOT:PSS and UV-curable<br />

adhesive-coated fibers that conformed to two specifications<br />

including length and film thickness. The system we<br />

developed, consisted of a winding machine to continuously<br />

transfer fibers, a die to coat PEDOT:PSS, and a heater or UV<br />

light to dry the solvent. The system was required to be tested<br />

to check whether it could form fibers that met the<br />

specifications. First, the die’s diameter for defining the film<br />

thickness is needed to be tuned. The thickness of<br />

PEDOT:PSS should be several hundreds of nm while that of<br />

UV-curable adhesive should be several micrometers.<br />

Second, to make fibers that were hundreds of m-long, the<br />

traveling speed of the fiber had to be considered in terms of<br />

throughput. If the traveling speed was as slow as the speed<br />

with sputtering, which is 30–40 centimeters of chamber size<br />

per 4–5 h for one process duration, it took hundreds of<br />

processes and thousands of hours to coat 100 m-long fibers.<br />

Therefore, a traveling speed of several m/min. was required<br />

to complete a long fiber. In fast-speed deposition, a speed<br />

that was too fast did not enable the wet PEDOT:PSS solution<br />

to follow the fiber. The maximum speed to form a uniform<br />

film was defined, tested, and confirmed so that it satisfied<br />

requirements. Finally, long fibers were actually fabricated<br />

under the defined conditions for the diameter of the die and<br />

the maximum traveling speed.<br />

The die-coating system which was used is shown in figure<br />

4. The machine at both sides is a winding machine<br />

(Factory-Automation Electronics Inc.) for moving fibers<br />

from left to right. To prevent fibers from hanging loosely,<br />

they are transferred under a certain tension by using bobbins<br />

with pressure sensors. The machine is operational at speeds<br />

of up to 50 m/min. The machine in the middle has a die and a<br />

heater. The die consists of a PEDOT:PSS or UV-curable<br />

adhesive reservoir and a nozzle for coating them onto the<br />

fibers. Because the die surrounds fibers with a certain gap,<br />

the wet film was coated on all surfaces of the fiber. The die<br />

had holes in the cap and nozzle. The diameter of the hole in<br />

the cap was 1 mm while those on the nozzle, which were<br />

larger than the 470-μm fiber diameter and ranged from 500<br />

to 980 μm were prepared through machining provided by the<br />

Daiichi-Daiya Company. The resultant gap between fibers<br />

and dies ranges from 0-300μm. The film thicknesses of the<br />

wet PEDOT:PSS and UV-curable adhesive are defined by<br />

the gap between the diameter of the nozzle and that of the<br />

fiber. The thickness of PEDOT:PSS was tuned from 0 to 500<br />

nm. The thicknesses were proportional to the gaps, but the<br />

large gaps induce unevenness of the coated PEDOT:PSS<br />

film. Therefore, the thickness below 200 nm is preferable for<br />

offering even film. On the other hand, UV curable polymer<br />

was also coated with die-coating system by changing the<br />

dies diameters. The diameters were chaged form 486 to 680<br />

Figure 4. Die-coating system. The both sides are yarn winding<br />

machines which travel fibers from left to the light. In the middle, dies<br />

and heaters or UV light were placed for coating PEDOT:PSS or UV<br />

curable adhesive. In the photograph, PEDOT:PSS was coated. The<br />

dies consists of coating nozzle and reservoir of the coating solution.<br />

Figure 5. The structure of the dies. The coating film thickness is defined by the<br />

gap between nozzle diameter of dies and the diameter of Nylon fiber. The<br />

coated wet film was dried by heater or UV light and the dried thickness was<br />

reduced by evaporating solvent.<br />

μm. The film thickness were tuned from 0 to 45 μm. The<br />

thickness was enough thick because the required thickness is<br />

5 μm. The thicknesses were larger than that of PEDOT:PSS<br />

because the PEDOT:PSS solution contained 99 % of water<br />

solvent and the solid content of PEDOT:PSS was only 1 %<br />

while the solution of UV-curable polymer contains almost<br />

100% solid content.<br />

Fast throughput for coating PEDOT:PSS films is essential<br />

to make long electrode-coated fibers. For fibers that are<br />

more than 100-m long, a traveling speed of at least several<br />

m/min is required. However, a fast traveling speed induced<br />

unevenness in PEDOT:PSS and UV curable adhesive film,<br />

resulting in defects. Defects in the electrodes were<br />

problematic because insensitive areas were formed in the<br />

sensors. To avoid these defects, the maximum traveling<br />

speed was experimentally evaluated. The PEDOT films<br />

were coated with the 680 μm die by changing traveling<br />

speeds in a range from 5 to 50 m/min. The photos of the<br />

films coated in the different traveling speeds were taken. It<br />

was confirmed that the films were even until the speed of 50<br />

144


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Figure 6. The relation ship between the gaps and PEDOT:PSS thicknesses. The<br />

thickness of the PEDOT:PSS was tuned from 0 to 500 nm by changing the gap<br />

from 0 o 300 μm.<br />

Figure 9. Automatic looming machine. The pristine fiber and conductive and<br />

dielectric film coated fibers were woven in the structure of plain weaving.<br />

Figure 7. The relation ship between dies diameters and UV-curable polymer<br />

thicknesses. The thickness of the UV curable adhesive was tuned from 0 to 45<br />

μm by changing the dies diameter from 485 to 680 μm.<br />

Figure 10 Woven fabric touch sensors. The PEDOT:PSS and UV-curable<br />

adhesive-coated fibers were woven in the pitch of 5 cm. The sensor width and<br />

length were 1.2 m and 3m, respectively.<br />

Figure 8. The relationship between the traveling speed of fibers and<br />

PEDOT:PSS thickness. Even if the traveling speed increased upto 50 m/min,<br />

the thicknesses of PEDOT:PSS were almost same.<br />

m/min. In case of UV curable adhesive, it was also<br />

confirmed that the films were even until 50 m/min.<br />

Therefore, the throughput of the fiber processing met the<br />

requirements for the meter scale fabric sensors.<br />

Ⅳ. WEAVING THE SENSOR FABRIC<br />

The processed nylon fiber with PEDOT:PSS and<br />

UV-curable adhesive was woven with automatic looming<br />

machine, forming touch sensor sheet. The automatic<br />

looming machine was made for looming the processed fibers.<br />

The fibers were very week for friction during the weaving.<br />

When the wefts were threaded in the warps, the wefts were<br />

easily fiber got stuck with the warps, resulting in the<br />

separation of the coated films. To solve the problems, the<br />

machine had the linear actuator to move the wefts between<br />

warps (figure 9).<br />

The fibers were woven in the manner of plain weaving by<br />

using the developed weaving machines. The coated fibers<br />

were placed in the pitch of the 5 cm. The width of the fabric<br />

is 1.2 m and the length was 3 m. Figure 10 shows the<br />

fabricated fabric and the size is meter scale.<br />

Ⅴ. CHARACTERIZATION OF FABRICATED TOUCH SENSOR<br />

The fabricated sensor detects the capacitance change<br />

between fiber and human finger. A human finger works as<br />

an electrode for the capacitor formed with conductive<br />

polymer-coated fibers because human is large-scale<br />

conductor. In the capacitance measurement, the potential<br />

was applied to the fiber and the flown current between fiber<br />

and human was detected for estimating formed capacitors.<br />

To detect the capacitance change between fiber and finger,<br />

the capacitance measurement circuit was connected to the<br />

fibers. Recently, capacitance measurement circuit was<br />

embed on the conventional MCU for touch sensor on<br />

portable electronic devices like i-phones. In our experiment<br />

145


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Silicon laboratories C8051F700 was used for capacitance<br />

inversely proportional to the width of the objects.<br />

Figure 11. Experimental setup of touch sensor characterization. Woven fabric<br />

touch sensor was connected to the Silicon laboratories MCU8051F700. MCU<br />

measured the capacitance of fibers and transfer it to PC through UART.<br />

Figure 12. Response of capacitance change under touch input. The capacitance<br />

increased when the fiber was touched with human finger.<br />

Figure 13. The relationship between width of object and capacitance change.<br />

The capacitance change was proportional to the width of the objects.<br />

measurement circuit. Figure 11 shows the experimental set<br />

up. The capacitance measurement pin was connected to the<br />

PEDOT:PSS electrode on fibers by removing the UV<br />

curable adhesive and connecting the PEDOT:PSS layer with<br />

conventional copper wire. The MCU measured the<br />

capacitance and transferred the data to PC through UART<br />

port. The figure 12 shows the measured capacitance when<br />

the fiber was touched by human finger. The capacitance was<br />

changed from 34 pF to 36 pF. The capacitance change was<br />

about 1-2 pF. The capacitance change of 2 pF is<br />

conventional among the capacitive type of touch screens<br />

used for cell phone or portable electronic devices.<br />

The response of capacitive sensor was defined by the area of<br />

the touched sensor area. Because the diameter of the fiber<br />

was fixed to be 475 μm, the area was defined by the width of<br />

the object. Therefore, the different width of the objective<br />

electrode was fabricated with conductive rubber and placed<br />

on the fabricated sensor. Figure 13 shows the relationship<br />

between width of objects and capacitance change. The<br />

chapacitance was proportional to the width of the objects.<br />

The capacitance change ranges from 0.9 to 2.0 pF by<br />

changing the width from 5 to 50 mm. Since the human<br />

finger size is 20 mm, the sensor can detect human touch<br />

input. On the other hand, because the PEDOT:PSS is high<br />

electric resistance in comparison with conventional metals,<br />

the detected capacitance was decreased by the length of the<br />

fibers. Therefore, we detected capacitance change in the<br />

different lengths between pointed place and the<br />

measurement circuit. Figure 14 shows the relation ship<br />

between length of the fiber and the detected capacitance<br />

change. The capacitance change was inversely proportional<br />

to the length. Therefore, large area sensors are required for<br />

the low electric resistance and the fabricated sensors can<br />

detect the touched point with the length of 40 cm because the<br />

capacitance change of the touched point with the length of<br />

50 cm is very small.<br />

Finally, we demonstrated key board system with fabricated<br />

touch sensor fabric. Key board system consisted of 3 x 9<br />

sensor fabric, MCU and PC (figure 15). The 3 x 9 sensor<br />

array was used as a key board. Layout of the keyboard is<br />

standard QWERTY. Figure 16 shows the demonstration of<br />

the keyboard input. Typed alphabet was displayed on the<br />

PC.<br />

Figure 14. The relationship between the length between touched point and<br />

measurement circuit and the capacitance change. The capacitance change was<br />

146


Figure 15. Key board input system. The System consists of 3 x 9 touch sensor<br />

array, MCU and PC.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

[5] Abouraddy, A. F.; Shapira, O.; Bayindir, M.; Arnold, J.; Sorin, F.;<br />

Hinchzewski, D. S.; Hoannopoulos, J.; Fink, Y. Large-scale optical-field<br />

measurements with geometric fibre constructs. Nature materials 2006, 5,<br />

532-536.<br />

Figure 16. Demonstration of key board input. The typed alphabet was displayed<br />

on the PC.<br />

Ⅵ. CONCLUSIONS<br />

In summary, we proposed surface capacitive type of fabric<br />

touch sensor for large-area electronic devices. In the sensor<br />

structure, PEDOT:PSS and UV-curable adhesive-coated<br />

fibers were woven as wefts and warps. The die-coating of<br />

PEDOT:PSS and UV-curable adhesive was developed to<br />

continuously form functional material on fibers. The<br />

weaving with automatic looming machine was employed for<br />

constructing meter-scale sensor fabric in continuous manner.<br />

Then, the 1.2 m × 3 m sensor fabric was woven. The sensors<br />

could detect human touch by measuring surface capacitance<br />

between human fingers and fibers. The values of capacitance<br />

change under touch input was 1-2.0 pF which is easy to<br />

detect by conventional capacitance meters that were<br />

integrated in MPUs. The developed sensor structure and<br />

fabrication process will lead to large area touch sensors in<br />

various electronic devices.<br />

ACKNOWLEDGMENT<br />

The research “Development of Continuous<br />

Nano/Micromachning and Integration Process for Fiber<br />

Substrates” has been being conducted as one of the research<br />

items of New Energy and Industrial Technology<br />

Development Organization (NEDO) project “Development<br />

of Manufacturing Technologies for Hetero Functional<br />

Integrated Devices “(BEANS project).<br />

REFERENCES<br />

[1] Marculescu, D.; Marculescu, R.; Zamora, N; Stanley-Marbell, P.; Khosla,<br />

P.; Park, S.; Jayaraman, S.; Jung, S.; Lauterbach, C.; Weber, W.; Kirstein, T.;<br />

Cottet, D.; Grzyb, J.; Troster, G.; Jones, M.; Martin, T.; Nakad, Z. Electronic<br />

textiles: a platform for pervasive computing. Proc. of IEEE 2005, 91,<br />

1995–2018.<br />

[2] Post, E.; Orth, M.; Russo, P.; Gershenfeld, N. E-broidery: design and<br />

fabrication of textile-based computing. IBM system journal 2000, 30, 840–860.<br />

[3] Gould, P. Textiles gain intelligence. Materials Today 2003, 38-43.<br />

[4] Catrysse, M.; Puers, R.; Hertleer, C.; Van Langenhove, L.; Van Egmond H.;<br />

Matthys, D. Towards the integration of textile sensors in a wireless monitoring<br />

suit. Sensors and Actuators A 2004, 114, 302-311.<br />

147


11-13 May 2011, Aix-en-Provence, France<br />

On-Wafer-Packaging of Crystal Quartz Based<br />

<br />

Devises Using Low-Temperature Anodic Bonding<br />

Y. Zimin, T. Ueda<br />

Graduate School of Information, Production and Systems, Waseda University<br />

2-7 Hibikino, Wakamatsu-ku, Kitakyushu-shi, Fukuoka 808-0135, Japan,<br />

Email: zimin-yura@fuji.waseda.jp<br />

Abstract- Low-temperature bonding of crystalline quartz and<br />

silicon wafers is described. The bonding has a big potential for<br />

MEMS applications because it could integrate the processing and<br />

packaging in a single high-tech process. In this work, strong<br />

bonding of silicon and crystal quartz wafers close to the<br />

mechanical strength of the initial materials has been achieved.<br />

Tensile test shows a disruptive stress of the samples at about 35<br />

MPa. High bonding strength is associated with minimization of<br />

the residual stresses, optimization of surface activation, and<br />

application of an electric field during annealing. Lowest possible<br />

annealing temperature and the optimum thickness ratio of<br />

silicon and quartz layers have been used in order to minimize the<br />

residual stresses.<br />

I. INTRODUCTION<br />

Wafer bonding is coming into wide use in MEMS<br />

technology. One of the most important candidates for bonding<br />

is a pair of silicon-crystalline quartz. Quartz is widely used for<br />

generators, high frequency filters, gyroscopes and<br />

microbalances because its physical properties are extremely<br />

stable. Conventional fabrication of devices based on quartz<br />

consists of a high tech processing in the very crystal with<br />

electrodes and subsequent manual assembling to the package.<br />

The manual assembling could be eliminated through<br />

integration of the processing and packaging in a single<br />

high-tech process by means of silicon/crystal quartz bonding.<br />

The integration could also provide a miniaturization and<br />

significantly improve parameters and quality of ready-made<br />

devices. High-temperature direct bonding is well known and<br />

provides a strong coupling and low level of residual stresses<br />

for materials with identical thermal expansion coefficients.<br />

High temperature increases a mobility of atoms across the<br />

interface that largely determines a strong bonding. When<br />

bonded structure consists of materials with different thermal<br />

expansion coefficients, excessive internal stresses may arise at<br />

the interface as result of high annealing temperature. Silicon<br />

and quartz are requiring the processing temperature as low as<br />

possible because the thermal expansion coefficient mismatch<br />

is quite large. Moreover, preprocessed wafers should not be<br />

exposed to high temperature in order to avoid the damage of<br />

the structures. The preprocessed structure could be also<br />

sensitive to residual stresses that can lead to subsequent<br />

degradation of the structure. Therefore, the development of a<br />

low-temperature technology is a key requirement of the strong<br />

bonding of dissimilar materials such as silicon and quartz pair.<br />

The thorough preparation of the surfaces for each specific<br />

pair of dissimilar materials can be an alternative to<br />

high-temperature annealing. The most promising results are<br />

achieved when the surface preparation includes a plasma<br />

treatment [1-3]. Even such dissimilar materials as crystalline<br />

silicon and lithium niobat show relatively strong bonding at<br />

room temperature as result of surface activation [1].<br />

Low-temperature technology can essentially reduce the<br />

residual stresses, but does not completely eliminate them for<br />

materials with different thermal expansion coefficients.<br />

Operating conditions of MEMS devices should include a<br />

temperature range as wide as possible. In this connection,<br />

internal stresses distribution must be given proper weight in<br />

designing the bonded structure. This work aims to produce a<br />

strong bonding of silicon-quartz structures with the lowest<br />

possible residual stresses. The experiment was performed by<br />

plasma-assisted activation of silicon and quartz surfaces, with<br />

further annealing in the electric field. Strong bonding, close to<br />

the mechanical strength of the initial materials, has been<br />

achieved.<br />

II. RESIDUAL STRESS IN BILAYER SYSTEM<br />

Stoney’s [4] and Timoshenko’s [5] formulas are often used<br />

to calculate the residual stresses in layered structures. Stoney<br />

analyzed the model of a thin film deposited on thick substrate.<br />

Timoshenko's approach looks the most appropriate for the<br />

bonding because it imposes no restrictions on the thickness of<br />

the layers. This model was originally developed for analysis<br />

of operation of a bimetal strip thermostat and based on the use<br />

of the radius of curvature ρ of a structure which is curved as<br />

result of a difference ∆α of the thermal expansion coefficients<br />

of the layers. The model is also appropriate for description the<br />

residual stresses under bonding of the plates of dissimilar<br />

material at elevated temperature because the bonded wafers<br />

usually have comparable thicknesses in the range between 0.1<br />

mm and 1 mm. In the case of the bonding, ∆T means a<br />

difference between annealing temperature and room<br />

temperature, or more precisely, concrete operating<br />

temperature of the bonded structure.<br />

Let h 1 and h 2 be the thicknesses of bonded plates, E 1 and E 2<br />

are their Young’s modulus, and ∆T is the difference between<br />

annealing temperature and operating c temperature of the<br />

bonded structure. Then the radius of curvature of the strip of<br />

unit width will be [5]<br />

148


ρ =<br />

h<br />

2∆α∆T +<br />

+ E 1h 3 1 +E 2 h 3 2 (1⁄ E 1 h 1 +1/E 2 h 2 )/6(h 1 +h 2 )<br />

∆α∆T<br />

<br />

<br />

. (1)<br />

Using (1), the residual stresses can be calculated according<br />

to the condition that, on the interface, the unit elongation<br />

occurring in the longitudinal fibers of both materials must be<br />

equal.<br />

α 1 ∆T +<br />

P<br />

+ h 1<br />

= α E 1 h 1 2ρ<br />

2∆T −<br />

P<br />

− h 2<br />

. E 2 h 2 2ρ (2)<br />

11-13 May 2011, Aix-en-Provence, France<br />

For the case of E 1 =E 2 , the principal residual stresses on the<br />

external faces of the structure and at its interface are<br />

calculated in [6]. As is seen in Fig. 1 (b), the stresses on both<br />

sides of the interface σ 1 and σ 2 individually depend on the<br />

thicknesses of layers. At the same time, the total stress<br />

∣σ 1 −σ 2 ∣ at the interface does not depend on the thickness<br />

(Fig. 1(b), straight dashed line). In Fig. 1, the tensile stresses<br />

are considered positive (arrows point to the right),<br />

compressive stress are considered negative (arrows point to<br />

the left).<br />

The general case of arbitrary E 1 and E 2 has been considered<br />

in [7]. The stresses on the both sides of the interface for a pair<br />

of quartz/silicon are shown in Fig. 1(c). The curves σ 1 , σ 2 , and<br />

∣σ 1 −σ 2 ∣ on Fig. 1(c) are plotted for modified Young’s<br />

moduli E * 1 = E 1 /(1-ν 1 ) and E * 2 = E 2 /(1-ν 2 ) instead of E 1 and<br />

E 2 , where ν 1 and ν 2 the Poisson ratios, because precisely the<br />

modified moduli should be used for calculations of plate<br />

deformation [5]. The principal difference between Fig. 1 (a)<br />

and (b) is the dependence of the total stress at the interface<br />

∣σ 1 −σ 2 ∣. Contrary to Fig 1(b), the total stress ∣σ 1 −σ 2 ∣<br />

depends on the thickness ratio for the case when E1<br />

≠E2 (Fig. 1(c)). The latter gives an additional opportunity to<br />

reduce the residual stresses. For example, if h 1 /h 2 =0,2, the<br />

residual stresses on the interface will be approximately 20%<br />

lower than in the case when both wafers are of equal in<br />

thickness. But this opportunity takes place exclusively due to<br />

the inequality of E * 1 and E * 2, as it take place for silicon/quartz<br />

and many other pairs.<br />

III. EXPERIMENTAL<br />

In the experiment, silicon wafers 0.5 mm thick and crystal<br />

quartz wafers 0.1 mm thick were used (h 1 /(h 1+ h 2 ) =1/6). The<br />

structures were fabricated by standard photolithography and<br />

wet etching. Prior to plasma activation, the silicon wafers<br />

were cleaned in two stages. In the first stage, organic<br />

contaminations were removed successively with acetone,<br />

isopropyl alcohol, and in an ultrasound bath with water.<br />

Afterwards, the wafers were dried in N 2 gas. The quartz<br />

wafers were cleaned in a mixture H 2 SO 4 : H 2 O 2 = 3:1 at 110 o C,<br />

distillated water at 80 o C, rinsed in DI water, and dried in N 2<br />

Fig.1. (a)- schematic diagram of bilayer bonded structure; (b)- the<br />

principal residual stresses on the interface of bilayer structure for<br />

E1=E2=185 GPa, ∆T=100 o C, and ∆α=2.05•10 -6 / 0 C as a function of<br />

normalized thickness h 1/(h 1+h 2); (c)- the principal residual stresses on the<br />

interface of quartz/silicon structure for ∆T=100 o C, E* 1=86.4 GPa, E* 2=256.9<br />

GPa, ν 1=0.17, ν 2=0.28, ∆α=2.05•10 -6 / 0 C as a function of normalized<br />

thickness h 1/(h 1+h 2).<br />

gas. Plasma exposure of both silicon and crystalline quartz<br />

plates was held in a reactive ion etcher (SAMCO RIE-10NR)<br />

with the RF generator 200W maximum power. Oxygen<br />

plasma was chosen because of its effectiveness in the<br />

activation of the surface [8]. Immediately after plasma<br />

exposure, Si and quartz wafers were brought into contact, then<br />

clamped between two stainless steel plates with well-polished<br />

surfaces and placed in a heater for annealing. Identically<br />

prepared samples were annealed under pressure of about 25<br />

KPa and temperature 130 0 C during 8 hours. In addition, DC<br />

voltage of about 300V was imposed across the specimen<br />

during annealing, as is shown in Fig. 2.<br />

149


11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

Fig.2. Schematic drawing of the setup for annealing in electric field.<br />

After annealing, the bonded pairs are diced into 12x12 mm<br />

pieces for tensile strength measurements. To produce a<br />

specimen for the tensile test, two socles were glued to both<br />

sides of the bonded pair by epoxy resin. Prior to gluing, socle<br />

surfaces were sand blasted and cleaned in an ultrasonic bath<br />

with acetone. The schematic diagram of the tensile test is<br />

shown in Fig. 3. The samples were loaded gradually until they<br />

burst.<br />

IV. RESULTS AND DISCUSSION<br />

The bonding process consisted of the following successive<br />

stages: cleaning of the wafer, surface plasma activation,<br />

connecting the surfaces at room temperature and annealing.<br />

Taking into account [8], an attempt was made to rinse the<br />

wafers with DI water immediately after the plasma activation.<br />

However, this rinsing drastically reduced bonding strength in<br />

our experiment. Therefore, the rinsing was excluded from the<br />

bonding process in the following experiment. Next, the<br />

influence of etching regimes was examined: flow rate and the<br />

pressure of the oxygen inside of ion chamber. It has been<br />

found that bonding strength is not changed in the 40 to 150<br />

milliliters per second range of oxygen flows for the ion<br />

camera used. The oxygen pressure affects the bonding more<br />

significantly than the flow rate. The strongest bonding can be<br />

achieved in a narrow interval of oxygen pressure at<br />

approximately 5Pa. Moreover, testing shows that the samples<br />

activated at this plasma pressure keep bonding when the<br />

epoxy glue brakes.<br />

In addition to conventional technology of silicon and quartz<br />

bonding, the electric field was utilized over the course of<br />

annealing. Annealing in the electric field (anodic bonding)<br />

provides a very high bonding strength, close to the<br />

mechanical strength of the initial bulk materials. Anodic<br />

bonding efficiency is associated with the high mobility of<br />

alkali ions at a high temperature, the movement of which is<br />

controlled by an electric field. However alkali atoms are<br />

absent in silicon and quartz wafers. High temperature is<br />

Fig. 3. Schematic drawing of tensile test.<br />

unacceptable because it causes an internal stress in the bonded<br />

materials with a significant difference in the thermal<br />

expansion coefficient. Nevertheless, we have used the electric<br />

field and have verified that the electric field has a favorable<br />

effect on the bonding strength. This result could be due to the<br />

fact that the surface, immediately after activation by plasma,<br />

represents a loose structure with weak or even broken<br />

interatomic bonds. Therefore, the atoms become highly<br />

mobile and actively react to the electric field. And vice versa,<br />

when the bonds are intensified as a result of an external action,<br />

the reaction of the atoms to the electric field becomes weaker.<br />

The latter explains the negative role of rinsing the specimen<br />

after plasma activation, as exposure, which saturates the<br />

interatomic bonds.<br />

The tensile test shows that the samples are mainly keep<br />

bonding up to 35 MPa when epoxy glue brakes. To<br />

qualitatively compare the bonding strength and strength of the<br />

bulk material, the samples were specially cleaved and a<br />

fracture surface was examined through a microscope. As is<br />

seen in Fig. 4, the fracture surface intersects the silicon and<br />

quartz crystals and does not include a bonding interface plane.<br />

The cleaved surface passes through the bonded sandwich<br />

structure as if it is a homogeneous bulk medium. That proves<br />

that the bonding is of a high strength, close enough to that of<br />

the initial bulk material.<br />

In addition to flat wafers, a structured silicon wafer was<br />

bonded to the crystal quartz. Bonding of structured wafer<br />

would be able to radically improve and simplify MEMS<br />

technology. The complicated structure could be prepared on<br />

the open surface by using conventional technology and then<br />

150


11-13 May 2011, Aix-en-Provence, France<br />

strength between the structured and the initial plates with flat<br />

<br />

surfaces<br />

<br />

V. CONCLUSIONS<br />

In this work, a strong low-temperature plasma assisted<br />

bonding of crystalline quartz/silicon wafers has been<br />

developed. High bonding strength, which is close to the<br />

mechanical strength of the starting materials was achieved<br />

through optimization of plasma activation and minimize the<br />

residual stresses. It is found that the electric field applied<br />

during annealing also contributes to the bonding strength. A<br />

possible mechanism of influence of the electric field on the<br />

bonding process was discussed. Similar strength has been<br />

achieved for the bonding of the crystal quartz wafer and<br />

structured silicon with micro cavities. The results demonstrate<br />

the unique potentials of the bonding technique in fabrication<br />

of MEMS devices based on the crystal quartz.<br />

Fig. 4. Fracture surface intersects the bonding plane<br />

be bonded to the quartz wafer. In addition, further pre<br />

processing of the bonded quartz could be performed on the<br />

open surface too. Therefore, the complicated multilayer<br />

structure could be fabricated in the framework of simple<br />

combinations of conventional surface technology and the<br />

bonding technique.<br />

The structure with cavities of 1x1mm in size and 0.1mm in<br />

depth was prepared with standard technology of<br />

photolithography and wet etching on the surface of the silicon<br />

wafer. The structured wafer has been subjected to the same<br />

processing as the initial silicon plate with a flat surface. The<br />

tensile test shows no significant differences in bonding<br />

REFERENCES<br />

[1] H. Takagi,R. R. Maeda, N. Hosoda, and T. Suga. “Room-temperature<br />

bonding of lithium niobat and silicon wafers by argon-beam surface<br />

activation”, Appl. Phys. Lett., Vol. 74, 2387-2389 (1999)<br />

[2] S. Bengtsson, and P. Amirefeiz. “Room temperature wafer bonding of<br />

silicon, oxidized silicon, and crystalline quartz,” Journal of Electronic<br />

Materials, vol. 29, No. 7, 2000, pp. 909-915<br />

[3] T. Suni, K. Henttinen, I. Suni, and J. Makkinen. “Effect of plasma<br />

activation on hydrophilic bonding of Si and SiO 2,” Journal of<br />

Electrochemical Society, Vol. 149, No 6, 2002, G348-G351.<br />

[4] G. G. Stoney. “The tension of metallic films depositd by electrolisis,”<br />

Proc. R. Soc.,London, Ser. A, 82, pp.172-175.<br />

[5] S. Timoshenko, “Analysis of bi-metal thermostate”, J. Optical Soc.<br />

America and Review Scientific Instruments, 11, 233 (1925)<br />

[6] A. V. Dobrynin. “On the aplication of Stoney Formula for calculating<br />

stresses in thick filmes and coatings,” Pis’ma Zn. Teck. Phiz. 23, 32-36<br />

(1997)<br />

[7] Y. Zimin, T. Ueda, “Bonding of silicon and crystal quartz wafers for<br />

MEMS application,” unpublished.<br />

[8] A. Weinert, P. Amrfeiz, and S. Bengtsson. “Plasma assisted room<br />

temperature bonding for MST,” Sensors and Actuators, VOl. A 92,<br />

2001, pp. 214-222.<br />

151


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A Novel Self-Powered Method for Pipe Flow<br />

Measuring<br />

1 SONG HAO WANG, 1 RONALD GARCIA, 2 PEI HUA CHANG<br />

1 Department of Mechanical Engineering, Kun Shan University<br />

2 Department of Electronics Engineering, Kun Shan University<br />

949 Da Wan Road, Young Kang City, Tainan, 710 Taiwan<br />

Abstract: This paper presents a novel “Self-Powered<br />

Pipe Flow Metering System (SPPFM)” with the functions<br />

of measurement, analysis and display incorporated with<br />

self-powering. The features of vortex flow metering and<br />

pipe flow generator are adopted simultaneously to<br />

develop this integrated system.<br />

In this system, the pipe flow drives the rotor of a generator to<br />

power the measuring unit itself. Thanks to the system, only<br />

small part of the flow power is converted into electricity to<br />

obsolete the replacement of batteries or to eliminate the lengthy<br />

electric supply wires all together. The reading of SPPFM was<br />

adjusted with an accredited commercial flow meter for<br />

the purpose of background calibration.<br />

Major parameters including power needed and power<br />

generated under different flow rate are investigated. Based on<br />

the results of the experiments, feasibilities of the system are<br />

discussed. A commercial flow meter was used to calibrate this<br />

SPPFM system and the results of such a calibration are<br />

presented in the paper. A technique to achieve both goals using<br />

single generator hardware is explained. It was seen from the<br />

experiment that the SPPFM system would produce more electric<br />

power than the basic needs for an embedded digital measuring<br />

unit, leaving rooms for growing features such as wireless<br />

communication, graphic display and data storage.<br />

Keywords: Pipe flow, Metering, Generator, Self Power<br />

I. INTRODUCTION<br />

Flow metering devices are one of the most important<br />

apparatus to measure/control fluid flows in pipelines,<br />

including industries such as chemical/petroleum plants, as<br />

well as residential/municipal facilities.<br />

For example, water loss is an extremely important issue<br />

for human beings. The control of water losses has been an<br />

activity associated with water distribution as early as the<br />

earliest systems were built [1]. Since Roman times many<br />

advances have been made but even in the newest distribution<br />

system, leakage occurs and today leakage engineers require a<br />

variety of equipment and techniques to measure, control and<br />

reduce leakage on water supply networks[2].<br />

Water leakage can be divided into two categories,<br />

background leakage and burst leakage (Fig. 1). However,<br />

even today, water leakage can still be as high as 25% in<br />

developed countries and 50% in developing countries.<br />

The concept of “Water footprint” has been introduced<br />

recently to trace the clean water usage through human<br />

activities and development of products. To efficiently trace<br />

water leakage and usage, and water flow metering is a<br />

necessity.<br />

For deep sea oil drilling, the monitoring of the pipe flow is<br />

obviously a key issue (Fig. 2). During the Gulf of Mexico oil<br />

field disaster in 2010, the big pipe leakages had not been<br />

detected until after seven days of explosion, causing almost<br />

unrecoverable loss and damages to the United States<br />

economy and the world’s environment.<br />

Fig. 1 Water supply and leakages<br />

Fig. 2 Pipe lines in deep sea oil drilling<br />

152


In recent years, the pipe flow monitoring systems have<br />

advanced into digital era with the development of science and<br />

technology. However, at some sites it is troublesome to<br />

accomplish the task of maintain continue power supply to<br />

flow metering systems at either local or remote areas. The use<br />

of solar panels to power a regular flow meter is inefficient due<br />

to the lack of security and limited, if any, accessibility for<br />

maintenance of the equipment. Here is where SPPFM comes<br />

in handy [4], allowing the communication system to power<br />

itself with enough power to send signals using wire/wireless<br />

communication technology. Some examples of remote areas<br />

where SPPFM could be used are water pipes at mountains<br />

where the water is collected and extracted for domestic use or<br />

at water bodies where water is pumped through pipes for land<br />

irrigation or other usages.<br />

In urban areas, flow meters do not face the same issues as<br />

in remote areas. Electricity is available almost everywhere<br />

and communications networks are well spread out. However,<br />

as the cities grow so the needs for more flow meters. Two<br />

main sources power these flow meters, electricity from the<br />

power grid or batteries. By using SPPFM there will be no<br />

need to connect to the power grid anymore. Since SPPFM is<br />

powered by itself, it could be installed in pipes underneath the<br />

streets, and not only use them as flow meters but also as<br />

sensors to detect leakages in the pipelines. The usage of<br />

SPPFM goes beyond remote areas and cities. It can also be<br />

used at hazardous places where chemicals or liquids at<br />

extreme temperature are being handled.<br />

Oil pipelines at the desert and geothermal pipelines<br />

systems are among the numerous dangerous sites which can<br />

be beneficiated by the use of SPPFM (Fig. 3 and Fig. 4). High<br />

temperature water, going above 160ºC in geothermal plants,<br />

and crude oil are some of the substances human being can not<br />

be exposed to, still flow of these substances need to be<br />

controlled.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

Fig. 4 Pipe lines in geothermal plant<br />

One of the most commonly used device in the industry are<br />

the vortex flow meters [3] which can work on extreme<br />

environment and with low or free maintenance. However,<br />

they still require an input power ranging from 13 to 32 VDC<br />

to operate. Powering these devices is troublesome in some of<br />

the cases. Therefore, SPPFM is an advantageous device in<br />

these types of environments. The application of the SPPFM<br />

does not end here. A more futuristic application would be that<br />

after miniaturization, this invention can even be integrated<br />

into embedded medical self-powered device inserted into a<br />

human body. This would enable physician to monitor/control<br />

the micro device and constantly analyzing and<br />

communicating the results.<br />

The Self-Powered Pipe Flow Metering System or SPPFM<br />

was created to minimize the maintenance needs and still have<br />

an accurate water flow measurement. This study was made<br />

practically and the measurements were compared with an<br />

accredited commercial flow meter, the Mini-wheel flow<br />

meter W-116, by TOKYO KEISO CO., LTD.<br />

. MECHANISM OF SPPFM WATER TURBINE<br />

Started with a small pipe line, this SPPFM uses Pelton<br />

Wheel design for its water turbine, the geometry and the<br />

quantity of impellers was analyzed and optimized with the<br />

help of CFD software and Rapid Prototyping technology [4,<br />

5].<br />

A prototype of SPPFM is shown in Figure 5. The system<br />

consists of an impeller, a data acquisition/analyzing unit and a<br />

power/pulse generator connected to the water/liquid pipe.<br />

For medium size pipes, the coils of the stator can be<br />

located outside the wall of the pipe (Fig. 6). For large pipes,<br />

the impeller and the generator can be inserted into the<br />

mainstream flow (Fig. 7). [15]<br />

Fig. 3 Pipe lines in remote area<br />

<br />

153


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig. 5 A prototype of SPPFM for small and big pipes<br />

Fig. 6 Water turbine for medium size pipes<br />

ELECTRONIC DESIGN FOR MEASURING UNIT<br />

In this study, the SPPFM measuring unit uses the ATMEL<br />

AVR Micro Controller Unit (MCU). This MCU uses from<br />

1.6V to 5.5V, and in active mode it only consumes 3.6mA.<br />

Therefore, the whole unit, including its display, only<br />

consumes about 0.1W to operate in active mode. On the<br />

contrary, most of the commercial system such as the<br />

Mini-Wheel W-116 uses 12V DC and 1.2A to operate, thus it<br />

is very difficultly to install them in areas where electric grid<br />

or other external source of energy is not present.<br />

As an example, at 10 L/min this generator produces 5.00<br />

VDC and 0.016A, supplying a total power of 0.08W. At this<br />

flow rate the generator is supplying for 80 percent of the<br />

power required. However, at 20 L/min, this generator<br />

achieves 11.20 VDC, supplying a total power of 0.41W,<br />

providing three times more than the total power required.<br />

While the power generated exceeds power required the extra<br />

energy is stored in ultra-capacitors which, fully charged, can<br />

provide the system with enough energy to measure the flow<br />

for five hours.<br />

A voltage regulator was needed to protect the circuit from<br />

burning out and keep a constant voltage of 3.3VDC. This<br />

arrangement allows the SPPFM to only use the power of the<br />

generator to operate safely without the need for batteries or<br />

any external power source.<br />

IV. SINGAL PROCESSING<br />

Fig. 7 Water turbine for large pipes<br />

EXPERIMENTAL SETUPS<br />

The experiment was carried on with a recirculation<br />

pumping test bench. It consists of a water tank, a pump and<br />

a loop of pipes (Fig.8).<br />

The SPPFM has a small generator which converts the<br />

mechanical force of flow into electrical energy. In this<br />

experiment, the inside diameter of the flow pipe is 18mm.<br />

The reading of flow rate is obtained from a commercial<br />

flow meter, “Mini-Wheel W-116” from TOKYO KEISO<br />

Co. LTD.<br />

The SPPFM system measures the frequency generated by a<br />

separated set of coil attached to the stator of the generator.<br />

The measuring system uses the analog comparator feature of<br />

the Micro Controlling Unit (MCU) to get an accurate<br />

frequency measurement.<br />

The analog comparator on AVR microcontrollers is a<br />

hardware feature that allows the comparison of two voltages,<br />

when the voltage of the positive analog comparator is higher<br />

that then voltage of the negative one a high pulse is<br />

generated. This feature was used to create a frequency out of<br />

the sine waveform of the alternating current received as<br />

signal from the generator.<br />

In order to process the signal received from the generator,<br />

hardware as well as software filtering were configured. The<br />

following figure shows the hardware filter used to control<br />

unwanted noise. However, if still noisy signals pass through,<br />

the MCU software detects and omits them by comparing the<br />

time past between each pulse.<br />

Fig.8 Experimental setup<br />

Figure 9. Filter for AC signals<br />

154


As the speed of the rotor increases, the frequency of the<br />

second set of coil increases as well. This second set of coil<br />

produced a voltage, high enough to enable the circuit to<br />

measure the rotor’s speed without an external amplifier or<br />

buffer, and without supplying any power to the signal..<br />

Therefore, the system is able to accurately measure the<br />

whole required range of frequency efficiently.<br />

This signal was compared with a hall sensor and it was<br />

ensured that the frequency of the AC signal generated by the<br />

second set of coil matches exactly the signal generated by<br />

the hall sensor, which is a commonly used method to<br />

measure the rotational speed of commercial flow meters.<br />

The circuit that measures this frequency was simulated<br />

using PC simulation software from Proteus Company. ISIS<br />

software was used to simulate the circuit as shown in figure<br />

10. The upper part of the figure is a simulation of the<br />

seven-segment display that SPPFM measuring system uses<br />

and the bottom part is a Virtual Signal Generator (VSG).<br />

This allows the unit to simulate the frequency generated by<br />

SPPFM, and so giving evidence of the accuracy of the<br />

measurement. In this study, the SPPFM is configured to<br />

update its value every five seconds. The unit of measurement<br />

shown on the display is in Hz. Therefore, this is 54Hz<br />

generated by the VSG and the same value is shown on the<br />

seven-segment display.<br />

The MCU that SPPFM uses has an accuracy of ± 3% of<br />

nominal frequency. This accuracy can only be achieved at<br />

voltage between 2.7 and 5.5 VDC and room temperatures of<br />

25ºC. For application under higher or lower temperatures,<br />

calibration could be executed to compensate and achieve<br />

higher precision.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig.11 Different flow rates measurement before calibration<br />

. EXPERIMENTAL RESULTS<br />

The electric power generated under different flow<br />

conditions is presented in Table 1 and plotted in Fig. 12 and<br />

Fig. 13.<br />

Table 1 Electricity from the pipe flow generator<br />

Fig. 10 ISIS software simulation for SPPFM measuring system (Hz)<br />

Contrary to Mini-Wheel W-116, which according to its<br />

data-sheet, has ± 5% of the nominal frequency. In order<br />

to calibrate SPPFM, data samples between SPPFM and<br />

W-116 were taken to obtain the relationship between Hz<br />

and the flow rate (L/min). The output of the SPPFM<br />

shows a linear relationship between the speed of the flow<br />

and the frequency of the signal. Similar conclusion was<br />

drawn between the speed of the flow and the flow rate, for<br />

Mini-Wheel W-116. The relationships are shown in figure<br />

11. Such direct linear relationship between the two<br />

systems allowed the SPPFM to be calibrated to give a<br />

very close approximation to the Mini-Wheel W-116 unit<br />

of measurement.<br />

Fig. 12 Power and voltage vs. flow rate (18 mm pipe)<br />

Fig.13 Power and voltage vs. water velocity (18 mm pipe)<br />

155


Since the power required by the present SPPFM<br />

measuring unit is about 0.1Watt, the idea of “self-power” is<br />

proved to be feasible under most of the flow conditions,<br />

even for this 18mm diameter pipe flow condition.<br />

Pictures on figure 14 show the operation of the system<br />

after calibration. The left side hand picture is at flow rate<br />

about 33 L/min, while the right side picture is about 47L/min.<br />

Please take a note that this is the operation without battery or<br />

outside electric supply.<br />

.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

publication on Journal of Advance Meterials, 2011<br />

8. Aleksandr Nagorny, Ph.D, “High Speed Permanent Magnet<br />

Synchronous Motor/Generator Design for Flywheel Applications”,<br />

Report for NASA Glenn Research Center.<br />

9. James W. Nilson, Susan a. Riedel, “Electric Circuit”, ISBN<br />

978-986-412-554-8, page 69<br />

10. http://www.national.com/ds/LP/LP2987.pdf<br />

11. http://www.atmel.com/dyn/resources/prod_documents/2486s.pdf<br />

12. http://www.tokyokeiso.co.jp/english/products/download/tg/W-100_TG-<br />

ES821E.pdf<br />

13. http://www.racinefed.com/RWL.pdf<br />

14. G.L. Pong, “Fluid Mechanics in Civil Engineering”, New<br />

Wun Ching Developmental <strong>Publishing</strong>,<br />

15. Song-Hao Wang, Ronald José Doblado Perez, Ronald<br />

García, and Jiacheng Chen, “Development of Pipe Flow<br />

Generators”, International Conference on Chemical<br />

Engineering and Advanced Materials (CEAM 2011)<br />

Fig. 14 Left hand side W-116 in L/Min vs Right hand side SPPFM measuring<br />

system in L/Min, calibrated<br />

. CONCLUTION AND OUTLOOKS<br />

The feasibility of the SPPFM concept has been proved<br />

through the study, achieving self powering and measurement<br />

accuracy. Under most flow conditions, only small amount of<br />

energy in the flowing fluid need to be extracted and<br />

transformed into electricity, to power the measuring unit. In<br />

this study, the electronic unit requires 0.1W to operate while<br />

the system reaches self-power at flow rate of 15L/min.<br />

Although this is a stand-alone system, there are<br />

alternatives for applications. It could also be a combination of<br />

the pipe flow generator with other existing metering<br />

methodologies such as ultrasonic or pressure based metering.<br />

In addition to flow rate, other characteristics of the flow<br />

such as temperature, pressure, leakage through acoustical<br />

signal processing, and PH value could be measured with<br />

self-power.<br />

To optimize the system, a Permanent Magnet Generator<br />

(PMG) for lower flow speed is under development. Moreover,<br />

the work of reducing power consumption of electronic unit is<br />

also underway.<br />

REFERENCES<br />

1. Richard Pilcher, “Leak Detection Practices & Techniques - A Practical<br />

Approach”, Water21,2003<br />

2. John Morrison, “Managing Leakage by District Metered Areas”,<br />

Water21, 2003<br />

3. http://www.atmel.com/ad/picoPower/<br />

4. Songhao Wang, Ronald Garcia, Xinyin He, Jiacheng Chen,”<br />

Development of a Self-Powered Pipe Flow Metering System”,15 th Flow<br />

Measurement Conference (FLOMEKO), 2010 Taipei, Taiwan<br />

5. S. Wang, C. F. H. Porres, M. Zuo, W. Xiao, “Study of Impeller Design<br />

for Pipe Flow Generator with CFD and RP”, Conference Proceedings of<br />

American Institute of Physics, AIP, (http://www.aip.org/), ISSN<br />

0094-243X.<br />

6. S. Wang, X. He, J. Ke and Z. Yang, “Optimization of A Pipe Flow<br />

Generator”, E12-005, Proceedings of 26 th CSME, 11/2009, Taiwan<br />

7. Songhao Wang, Ronald José Doblado Perez, Ronald García, and<br />

Jiacheng Chen, “Development of Pipe Flow Generators”, Accepted for<br />

156


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A Microfluidic Chip with Single-particle-based Arrays<br />

Using Electroosmotic Flow<br />

Chun-Ping Jen and Ju-Hsiu Hsiao<br />

Department of Mechanical Engineering,<br />

National Chung Cheng University,<br />

Chia Yi, Taiwan, R.O.C.<br />

Abstract- Microfabrication technologies achieving precise<br />

manipulation of biological cells provide the potential for<br />

individual characterization, detection and assay to cells at the<br />

single-cell level. The main purpose of the present study was to<br />

develop a microfluidic chip with microwells for<br />

single-particle-based positioning by using electroosmotic flow.<br />

Therefore, the process could not only be reliable, but also simple<br />

without a syringe pump. A biocompatible material of<br />

polydimethylsiloxane (PDMS) was adopted as a structure in the<br />

microfluidic chip for single-particle-based array. The sample of 6<br />

μL with latex particles (17 μm in diameter) was suspended in the<br />

sucrose medium with a concentration of 10 6 particles/mL and<br />

dropped into the microchannel for micropatterning. The DC<br />

(direct current) voltages for electroosmotic flow were set as 10, 15<br />

and 20 volts, respectively. The velocity of electroosmotic flow<br />

increased with the applied voltages. The occupancy of particles<br />

decreased with voltages applied for both the microfluidic chips<br />

containing 20 or 30-μm microwells, which implied that the higher<br />

velocity of electroosmotic flow caused lower particulate<br />

occupancy. Furthermore, there was only one single particle<br />

within the individual microwell in most of occupied microwells<br />

with 20 μm in diameter, which was much higher than that for the<br />

30-μm-diameter microwells. Micropatterned latex particles in<br />

microwells were successfully achieved in this preliminary study.<br />

The microfluidic chips with microwells with different diameters<br />

were fabricated herein, which was suitable for measurements at a<br />

single-cell level.<br />

Keywords: microarray, single-particle, electroosmotic flow.<br />

I. INTRODUCTION<br />

Microfabrication technologies achieving precise<br />

manipulation of biological cells or microparticles provide the<br />

potential for individual characterization, detection and assay<br />

to cells at the single-cell level. It also has stimulated research<br />

to understand the fundamental cell biology and<br />

pharmaceutical analysis by exposure of cells to drugs and<br />

environmental perturbations [1]. Numerous methods, such<br />

as microcontact printing, microfluidic patterning and<br />

photolithography have been employed to create<br />

micropatterned surfaces containing adhesive and<br />

non-adhesive regions for cells, as reviewed previously [2-5].<br />

There approaches are limited to adherent cells and additional<br />

surface chemistry procedures are often required. Alternative<br />

methods including dielectrophoresis [6], optical tweezers [7]<br />

and selective dewetting [8] are adopted for trapping single<br />

cells and do not require that the cells are adherent. However,<br />

these methods are not suitable for high-throughput<br />

applications. The approach that cells are confined inside<br />

microwells passively becomes attractive because of its<br />

simplicity and easy-handling [9]. However, the injection by<br />

a syringe pump is still required for introducing the particles<br />

into the closed microchannel in this approach. Otherwise, a<br />

suspension of cells is pipetted onto the surface of the chip<br />

with opened microwells immersed in the medium in a culture<br />

dish [10], which required manually handling and was not<br />

reliable. The main purpose of the present study is to develop<br />

a microfluidic chip with microwells for single-particle-based<br />

positioning by using electroosmotic flow. Therefore, the<br />

process could not only be reliable, but also simple without a<br />

syringe pump.<br />

II. EXPERIMENTAL SECTION<br />

A biocompatible material of PDMS was adopted as a<br />

structure in the microfluidic chip for single-cell-based arrays,<br />

as illustrated in Fig. 1. The main channel formed on the top<br />

PDMS was 15 mm wide, 160 μm in height and 26 mm long.<br />

The main channel is divided into four microchannels with<br />

800 μm wide and 10 mm long at the center region. Each<br />

microchannel contains six 10×10 microwells with 20 μm or<br />

30 μm in diameter and 20 μm in depth on the substrate. The<br />

mold masters were fabricated by spinning SU-8 (SU-8 50,<br />

MicroChem Corp., Newton, MA, USA) on the silicon wafer<br />

to define the microwells and microchannel, respectively.<br />

The mold master of microfluidic channels (around 160 μm in<br />

height) were fabricated by spinning SU-8 at 500 rpm for 20<br />

seconds and then at 800 rpm for 35 seconds on the silicon<br />

wafer. The resist was soft baked on a hotplate at 65 °C for 10<br />

minutes and then at 95 °C for 30 minutes. The resist was<br />

then allowed to cool to room temperature. The SU-8 was<br />

exposed to ultraviolet (UV) radiation at a dose of 200 mJ/cm 2 .<br />

The post-exposure baking was done at 65 °C for 3 minutes<br />

and 95 °C for 10 minutes. The exposed samples were<br />

developed with the SU-8 developer for 5 minutes. Moreover,<br />

the mold master of microwells (around 20 μm in height)<br />

were fabricated by spinning SU-8 at 500 rpm for 20 seconds<br />

and then at a higher spin speed of 4500 rpm for 35 seconds on<br />

the silicon wafer. The resist was developed with the SU-8<br />

developer for about 2 minutes after baked and exposed to UV<br />

radiation under the same conditions mentioned above. The<br />

PDMS prepolymer mixture (Sylgard-184 Silicone Elastomer<br />

Kit, Dow Corning, Midland, MI, USA) was poured and<br />

cured on the mold masters to replicate the patterned<br />

structures. After peering off the PDMS replica with the<br />

microchannel, the inlet and outlet ports were made by a<br />

157


puncher. The two PDMS replicas were bonded after<br />

treatment of the oxygen plasma in the O 2 plasma cleaner<br />

(model PDC-32G, Harrick Plasma Corp. Ithaca, NY, USA).<br />

The electric field generating the electroosmotic flow was<br />

applied by inserting electrodes close to the ports<br />

aforementioned and the distance between these two<br />

electrodes was about 16 mm. The sample of 6 μL with latex<br />

particles (17 μm in diameter) was suspended in the sucrose<br />

medium with 8.62 wt% and 10 -4 M of KCl (σ=6.5×10 -3 S/m)<br />

and dropped into the microchannel for micropatterning. The<br />

concentration of particles was 10 6 particles/mL. The DC<br />

(direct current) voltages for electroosmotic flow were set as<br />

10, 15 and 20 volts, respectively. The detailed procedures<br />

were illustrated in Fig. 2.<br />

III. RESULTS AND DISCUSSION<br />

The images of micropatterned latex particles in 30 and<br />

20-μm microwells under different applied voltages were<br />

revealed in Fig. 3. The velocities of electroosmotic flow for<br />

10, 15 and 20 volts were measured as 3.0, 4.5 and 5.9 μm/s,<br />

respectively. The results of micropatterned in Fig. 3<br />

qualitatively showed that the occupancy of particles<br />

decreased with the voltage applied due to the increase of<br />

velocity. The statistical data for particle occupancy in the 20<br />

μm- and 30-μm-diameter microwells for different applied<br />

voltages were plotted in Fig. 4. The experimental data were<br />

based on manual counts of particles in three arrays of 10×10<br />

microwells by an inverted microscope. Each experimental data<br />

point represents the average value, and the error bar depicts<br />

the standard error from the mean. The occupancy of particles<br />

decreased with voltages applied for both the microfluidic<br />

chips containing 20 or 30-μm microwells, which implied that<br />

the higher velocity of electroosmotic flow caused lower<br />

particulate occupancy. For the case of applying 10 volts, the<br />

occupancy of particles on the microchip with 30 μm<br />

microwells was up to 93.67 %, which was higher than that<br />

obtained on the chip with 20 μm microwells (approximately<br />

85.16 %). The data for the particulate occupancy in the<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

individual microwells were revealed in Fig. 5 to investigate<br />

the performance of the single-particle level. The results<br />

indicated apparently that there was only one single particle<br />

within the individual microwell in approximate 97 % of<br />

occupied 20-μm microwells, which was much higher than<br />

that for the 30-μm-diameter microwells (62.48 %).<br />

IV. CONCLUSIONS<br />

The method of micropatterning latex particles in<br />

microwells at single-particle level was successfully achieved<br />

in this preliminary study. The microfluidic chips with<br />

microwells were fabricated herein, which was suitable for<br />

measurements at a single-cell level. However, the<br />

experimental demonstration of micropatterning biological<br />

cells is required in future work. Microchips with microwells<br />

proposed herein could be used for cellular micropatterning.<br />

The technique has the potential to realize single cell analysis<br />

and to acquire a population of data based on high-throughput<br />

and parallel processing.<br />

ACKNOWLEDGMENT<br />

The authors would like to thank the National Science<br />

Council of the Republic of China for its financial support<br />

under contract No. NSC-99-2923-E-194-001-MY3.<br />

REFERENCES<br />

[1] K. Yoshimoto, M. Ichinoa and Y. Nagasaki, Lab Chip, 9, 1286<br />

(2009).<br />

[2] A. Folch and M. Toner, Annu. Rev. Biomed. Eng., 2, 227 (2000).<br />

[3] T. H. Park, M. L. Shuler, Biotechnol. Prog., 19, 243 (2003).<br />

[4] D. Falconnet, G. Csucs, H. M. Grandin, M. Textor, Biomaterials, 27,<br />

3044 (2006).<br />

[5] J. Y. Lim, H. J. Donahue, Tissue Eng., 13, 1879 (2007).<br />

[6] J. Voldman, M. L. Gray, M. Toner, M. A. Schmidt, Anal. Chem. 74,<br />

3984 (2002).<br />

[7] A. Ashkin, Proc. Natl. Acad. Sci. 94, 4853 (1997).<br />

[8] N. Klauke, G. L. Smith, J. M. Cooper, Biophys. J., 85, 1766 (2003).<br />

[9] J. Y. Park, M, Morgan, A. N. Sachs, J. Samorezov, R. Teller, Y.<br />

Shen, K. J. Pienta, S. Takayama, Microfluid. Nanofluid., 8, 236<br />

(2010)<br />

[10] J. R. Rettig and A. Folch, Anal. Chem., 77, 5628 (2005).<br />

158


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Particles occupance(%)<br />

100<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

The sample of latex particles: 6 μL; 10 6 particles/mL<br />

20 μm microwells<br />

30 μm microwells<br />

Figure 1: Schematic diagram of the microfluidic chip for single-particle-based<br />

microarray.<br />

20<br />

10<br />

5 10 15 20 25<br />

Applied voltage (volts)<br />

Figure 4: Particle occupancy of latex particles in the microwells of 20-μm and<br />

30-μm diameter for different applied voltages.<br />

Particle occupance (%)<br />

100<br />

90<br />

80<br />

70<br />

60<br />

50<br />

20 μm microwells<br />

Applied voltage = 10 V<br />

Applied voltage = 15 V<br />

Applied voltage = 20 V<br />

Applied voltage = 10 V<br />

Applied voltage = 15 V<br />

Applied voltage = 20 V<br />

30 μm microwells<br />

40<br />

Figure 2: Experimental procedures for particle positioning.<br />

30<br />

20<br />

10<br />

0<br />

0 1 2 3<br />

Number of particles inside a microwell<br />

Figure 5: Particle occupancy of latex particles within the individual microwell<br />

of 20-μm and 30-μm diameter for different applied voltages.<br />

(a)<br />

(b)<br />

Figure 3: The images of micropatterned latex particles in the microwells of (a)<br />

30 and (b) 20 μm in diameter, respectively.<br />

159


11-13 May 2011, Aix-en-Provence, France<br />

<br />

A novel full range vacuum pressure sensing<br />

technique using free damping decay of micro-paddle<br />

cantilever beam deflected by electrostatic force<br />

Guan-Lan Chen, Chi-Jia Tong, Ya-Chi Cheng, Yu-Ting Wang, Ming-Tzer Lin *<br />

Graduate Institute of Precision Engineering,<br />

National Chung Hsing University, Taichung, Taiwan 402<br />

Abstract- We report here a novel full range vacuum pressure<br />

sensing technique. The technique, using the free damping decay<br />

of micro-cantilever beam, gives us a full range pressure sensing<br />

capacity ranging from 0.2 to 1 x 10 -8 torr. The method<br />

demonstrated in this study allows researchers and engineers to<br />

observe the vacuum pressure according to the free decay of the<br />

deflected MEMS structure responding to electrostatic loads. The<br />

sensing results show the free decay of the deflected beam is linear<br />

proportion to the vacuum pressure. This can be performed at<br />

various vacuum pressures and the measurements can be<br />

achieved at frequency rates of up to 500 Hz.<br />

I. INTRODUCTION<br />

With rapid development of MEMS technology and industry<br />

has increased not only the response speed of the devices but<br />

also the actuation or driving method in products. Moreover, it<br />

enhanced the miniaturization of products. For the VLSI and<br />

MEMS process applications, there are many equipments using<br />

vacuum. However, the vacuum working environment are not<br />

the same. For example, it is usually using 10 -4 torr in general<br />

heat treatment while plasma etching system required vacuum<br />

pressure lower than 1 x 10 -6 torr due to its anisotropic etching<br />

by ion collision. Moreover, Molecular Beam Epitaxy (MBE)<br />

processes need to be performed in the ultra-high vacuum<br />

environment thus to avoid epitaxy growth chamber and<br />

substrate pretreatment contacting with atmosphere during the<br />

manufacturing process [1]. As a result, it required various<br />

vacuum environments for MEMS industry and an accurate<br />

vacuum pressure measurement tools are essential.<br />

In general, vacuum gauge can be characterized from direct<br />

pressure measurement and indirect pressure measurement<br />

according to its sensing response with gas. At present, there<br />

are three types of vacuum gauge that are commonly used:<br />

capacitive diaphragm gauge (1 x 10 3 torr - 1 x 10 -1 torr),<br />

thermal conductivity gauge (1 x 10 3 torr - 1 x 10 -3 torr), and<br />

ionization gauge (1 x 10 -2 torr - 1 x 10 -10 torr). However, they<br />

are more or less with some drawbacks. For example,<br />

temperature effects on zero stability and the resolution of<br />

capacitive diaphragm gauge are difficult to avoid. In addition,<br />

geometric design of sensor elements in thermal conductivity<br />

gauge has to meet the flow of gas molecules in very sensitive<br />

state. Moreover, ionization gauge is only limited for high<br />

vacuum measurement. Furthermore, the vacuum gauges<br />

described above through these three methods have limited<br />

range for pressure measurement and there are no sensors that<br />

are capable to measure pressure with full range from<br />

atmosphere to high vacuum.<br />

Previously, Beams and Young [2] designed a method used a<br />

spinning metal rotor in vacuum to determine the<br />

environmental pressures. This vacuum gauge based on the air<br />

viscosity decelerate rotor speed is the first wide-range vacuum<br />

gauge. The measurement principle is to accelerate the rotor<br />

served as a vacuum gauge to a certain speed using the<br />

magnetic field. After the rotor reached a proper speed then the<br />

magnetic field is turned off. At the same time, the speed of the<br />

rotor will be decelerated with respect to the viscosity of the<br />

surrounding gas. As a result, the environmental pressures can<br />

be calculated according to the changes of the rotating speed.<br />

Despite its application for the wide-range vacuum pressure<br />

measurement, this vacuum gauge has experienced some<br />

disadvantages as well, example such as the high production<br />

cost and the long measurement time. In addition, the<br />

dimensions of the gauge are also difficult to be reduced.<br />

In this study, we design and develop an alternative full<br />

range vacuum gauge using new concept to integrate with the<br />

MENS technology. It is performed to use an elastic body with<br />

its dynamic response under different pressures to read accurate<br />

vacuum pressures of the environment with wide range. The<br />

system consists of a micro-scale metal film attached to the<br />

cantilever beam. It is located inside a vacuum chamber and<br />

used to read the vacuum pressure according to its dynamic<br />

damping response.<br />

II. PADDLE DESIGN & FABRICATION<br />

It is the fact that for a vibrated spring-mass system the decay<br />

rate of amplitude is proportional to the surrounding pressure of<br />

gaseous. Therefore, it can be extended to use as the concept for<br />

pressure sensing device. Here, a paddle liked sensing device<br />

was designed and developed with a structure including a proof<br />

mass, a tapered beam structure and a frame used to support the<br />

spring-mass system. The function of tapered beam structure is<br />

similar to the role of spring in spring-mass system. In addition,<br />

the cyclic motion can be provided on the proof mass because<br />

the compliance force on such structure is driven by<br />

electrostatic force due to the tapered beam bent down or up.<br />

The design of using the tapered beam was to avoid the plane<br />

stress concentrate on the root of the beam when the sensing<br />

device is bent. The sensing device was fabricated using 4<br />

160


inches silicon wafers which have thickness of 250μm. Special<br />

high conductivity silicon wafers were chosen to make these<br />

sensing devices because they can be directly used to couple the<br />

capacitance in the system. Before fabrication started, wafers<br />

need to go through the standard RCA cleaning procedures in<br />

order to remove the organism and particles on its surface.<br />

Silicon nitride layers were then growth on both sides using low<br />

pressure chemical vapor deposition (LPCVD). This nitride<br />

layer is used as an etching barrier due to its high etching<br />

selectivity compare with silicon. Next, silicon nitride was<br />

patterned by photoresist and reactive ionic etching (RIE).<br />

After the silicon nitride was patterned, the entire wafer will be<br />

immersed in KOH solution at 85℃. Non-protect regime of<br />

silicon will then be removed on both sides until the full<br />

thickness of silicon had been etched through. Finally, the<br />

silicon nitride layers were taken away using hydro fluoric acid.<br />

The complete fabrication sequence is shown in Fig. 1 and the<br />

photograph of the final sensing structure through complete<br />

fabrication flow is shown in Fig. 2.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

comparison. Photograph of vacuum system shows in Fig. 3.<br />

Fig. 3. A photograph of system<br />

The sensing system we proposed was then used to obtain the<br />

dynamic response from the capacitance measurement. There<br />

are two electrodes mounted around this sensing device, the<br />

sensing electrode placed on top of the sensing device and the<br />

driving electrode mounted underneath of the sensing device.<br />

The gap between the sensing electrode and PCB will couple a<br />

capacitance in a fixed value unless for the sensing device is<br />

moved or under vibration. The amplitude of the displacement<br />

current will change from the coupling capacitance if there are<br />

changes in the gap between the device and the sensing<br />

electrode. The amplitude of the displacement current will be<br />

proportional to the capacitance, and also be inverse<br />

proportional to the gap between electrode and device. The<br />

schematic of measurement shows in Fig.4.<br />

Fig. 1. Complete stepwise processing sequence for sample<br />

process<br />

Fig. 4. A schematic of measurement<br />

Fig. 2. A photograph of sample after process<br />

III. SYSTEM SET UP<br />

The system can be characterized in two major parts; the first<br />

is the high vacuum system which used to provide different<br />

vacuum pressure during measurement. Prepared vacuum<br />

pressure was controlled by passing nitrogen gas flow into the<br />

chamber operated using a high accuracy mass flow controller<br />

(MFC). Simultaneously, the pressure inside the chamber was<br />

measured using preexisted linearly wide range vacuum gauge<br />

(WRG) which consists with a low vacuum gauge and a high<br />

vacuum gauge thus to give the precise vacuum pressure for the<br />

As shown in Fig. 4 when putting a fixed DC voltage on the<br />

bottom electrode, it will provide an electrostatic force and pull<br />

the sensing device bending down. If we suddenly removed the<br />

drive voltage on the bottom electrode, this sensing device will<br />

bend up and then bend down due to its compliance force.<br />

Finally, the sensing device will damp out to its balance<br />

position and the vibration time constant of the device can be<br />

used to determine the environmental pressure surround it.<br />

Here, the bottom electrode is used to provide the<br />

electrostatic force and induce the sensing device bent or<br />

vibrate. The equivalent impedance of the capacitance between<br />

them is a reciprocal of vibration frequency. If the sensing<br />

device oscillate at the lower frequency such as several Hz to<br />

hundreds Hz, the capacitance between it and the sensing<br />

electrode would provide an equivalent impedance in millions<br />

ohms. On the other hand, the displacement current we<br />

161


measured may be reduced to several nano-ampere even<br />

pico-ampere. In general, it is difficult to measure and acquire<br />

such small amplitude of displacement current. Therefore, a<br />

100 kHz sine waveform signal has been designed to carry the<br />

lower frequency signal through the coupling capacitor.<br />

Although, the displacement current obtained from the<br />

capacitor has low frequency and high frequency components,<br />

the lock-in amplifier added here can extract the low frequency<br />

signal that are needed for our measurement from the mixed<br />

signal with a 100kHz reference signal. Capacitance of the<br />

coupling capacitor can be easily determined through the<br />

comparison of the other reference capacitor that has a known<br />

capacitance. The other capacitor also has a 100kHz bias signal,<br />

but has 180 degree phase off with another 100kHz sine wave.<br />

The schematic of the measurement tool is shown in Fig.5. Fig.<br />

5 (a) shows 3-D diagram and (b) shows the cross-section view<br />

of entire system with details in each part. This capacitance<br />

measurement was controlled using Labview software and a PC.<br />

The electrical circuit design of entire capacitance<br />

measurement is shown in Fig.6.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

Fig. 6. Schematic of measurement signal circuit design<br />

During the experiment, a step voltage was provided on the<br />

bottom electrode. The sensing device started vibration after<br />

sudden turned off the deflection voltage. The dynamic response<br />

of the sensing device will be recorded continuously until the<br />

sensing beam gets back to its balance position. The amplitude<br />

of vibration will be reduced with time because of the air<br />

damping due to the gaseous atoms.<br />

IV. RESULTS AND DISCUSSION<br />

Fig. 7 shows the results of one particular experiment tested<br />

at the low vacuum (1.6 x 10 -2 torr). The figure shows the proof<br />

mass position was slight decreased from its maximum value at<br />

the beginning. The maximum value of each cycle can be fitted<br />

using an exponential decay function defined as (1). Decay rate<br />

of the entire damping behavior can be calculated using (2).<br />

)( ⋅= (1) , δ ( ) (2)<br />

0<br />

⋅− t<br />

eAta<br />

δ = ln / aa<br />

nn<br />

+ 1<br />

In Fig. 7, the free decay response of the beam vibrated at the<br />

low vacuum has a decay time constant in 3.05 second. Not<br />

only the vacuum pressure would affect the decay time constant<br />

in the dynamic behavior of the sensing beam but also the<br />

intrinsic property in its material. Silicon is one of the low loss<br />

materials which can be used to avoid the decay time constant<br />

influenced by the variation of intrinsic material properties.<br />

Fig. 5. Schematic view of measurement apparatus (a) 3D view<br />

(b) cross-section view<br />

Fig. 7. Sample free damping decay versus time at 10 -2 torr<br />

Here we carried out a series of experiments at the different<br />

vacuum pressures. Vacuum pressure can be adjusted form the<br />

lowest pressure to the nearly atmosphere. The decay time<br />

constant obtained here shows a significant difference between<br />

162


samples tested in different vacuum pressures. Test results<br />

plotted in Fig. 8, Fig. 9, and Fig. 10 show sample decay rate<br />

versus vacuum pressure on three different ranges of pressure<br />

such as 0 - 0.01torr, 0 - 0.1torr, 0 - 0.025torr, respectively. We<br />

observed the significant trend of decay rate versus different<br />

pressure in free damping experiments. Decay rate found here<br />

shows linearly proportional to the vacuum pressure. As a result,<br />

this sensing technique can be used to calibrate as a standard<br />

pressure sensor as long as the decay rate has been found using<br />

the free damping method.<br />

Fig. 8. Sample decay rate versus vacuum pressure (0 -<br />

0.01torr)<br />

Fig. 9. Sample decay rate versus vacuum pressure (0 - 0.1torr)<br />

Fig. 10. Sample decay rate versus vacuum pressure (0 -<br />

0.025torr)<br />

V. CONCLUSION<br />

A novel pressure sensing technologies has been proposed in<br />

this study. The sensing technology used to determine the<br />

vacuum pressure depending on the viscosity of gaseous. The<br />

results show that the air pressure has a significant effect on the<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

decay time constant of free vibration of the sensing device.<br />

Cantilever beam was designed in tapered shape which can<br />

effectively reduce the bending stress concentrate on the root.<br />

This design improved the volumetric problem in spin rotor<br />

gauge, at the same time it also gives us a wider range<br />

measurement in pressure. The results also show the linear<br />

correlation between the damping decay rates versus vacuum<br />

pressure. Thus it gives us a full range vacuum pressure sensing<br />

capacity ranging from 0.2 to 1 x 10 -8 torr linearly.<br />

REFERENCES<br />

[1] VACUUM TECHNOLOGY & APPLICATION<br />

National Science Council Precision Instrument Development Center<br />

[2] J. W. Beams, J. L. Young, and J. W. Moore, Journal of Applied Physics,<br />

17, 886 (1946)<br />

[3] C. J. Tong, Y. C. Cheng and M. T. Lin, Microsystem technologies,<br />

16(7), 1131 (2010).<br />

[4] C. J. Tong and M. T. Lin, Microsystem technologies, 15(8), 1207<br />

(2009).<br />

[5] B. C. S. Chou, Y. M. Chen, M. OuYang and J. S. Shie, Sensors and<br />

Actuators A, 53, 273 (1996).<br />

[6] J. S. Shie, B. C. S. Chou and Y. M. Chen, Journal of Vacuum Science<br />

and Technology A, 13 (1995).<br />

[7] Y. Wang and M. Esasshi, Sensors and Actuators A, 66, 213 (1998).<br />

[8] K. R. Williams and Richard S. Muller, International Electron Devices<br />

Meeting, 387 (1992).<br />

[9] K. R. Williams and R. S. Muller, Transducers, 97, 1249 (1997).<br />

[10] D. H. Baker and R. A. Outlaw, in 45 th AVS international Symposium<br />

(1998).<br />

[11] D. C. Calting, Sensors and Actuators A, 64, 157 (1998).<br />

[12] R. T. Bayard and D. Alpert, Review of Scientific Instruments, 21, 571<br />

(1950).<br />

[13] J. K. Fremerey, Journal of Vacuum Science & Technology A, 3(3),<br />

1715 (1985).<br />

[14] J. W. Beams, J. L. Young, and J. W. Moore, Journal of Applied Physics,<br />

17, 886 (1946)<br />

[15] J. K. Fremerey, Journal of Vacuum & Science Technology, 9, 108<br />

(1972).<br />

[16] J. K. Fremerey, Review of Scientific Instruments, 44, 1396 (1973).<br />

[17] J. K. Fremerey and K. Boden, Journal of Physics E, 11,106 (1978).<br />

[18] J. W. Beams, D. M. Spitzer and J. P. Wade, Review of Scientific<br />

Instruments, 33, 151 (1962).<br />

[19] J. Harbour and R. O. Lord, Journal of Scientific Instruments, 42,105<br />

(1965).<br />

[20] G. Comsa, J. K. Fremerey and B. Lindenau, in Proceedings of the 7 th<br />

International Vacuum Congress, Vienna, Vol. I, 157 (1977)<br />

[21] G. Comsa, J. K. Fremerey, B. Lindenau, O. Messer and P. Rohl, Journal<br />

of Vacuum & Science Technology, 17,642 (1980).<br />

[22] G. Messer, in Proceedings of the 8th International Vacuum Congress,<br />

Cannes, Vol. II, 191 (1980).<br />

[23] G. Comsa, J. K. Fremerey and B. Lindenau, in Proceedings of the 8 th<br />

International Vacuum Congress, Cannes, Vol. II, 218 (1980).<br />

[24] G. Messer and L. Rubet, in Proceedings of the 8th International<br />

Vacuum Congress, Cannes, Vol. II, 259 (1980).<br />

[25] Cong Shu-Ren, Wan Yon-liang and Lu Jia-huo, Vac. Sci. Technol.<br />

(China) 2, 64 (1982).<br />

[26] G. Reich, Journal of Vacuum & Science Technology, 20, 1148 (1982).<br />

[27] K. E. McCulloh, Journal of Vacuum & Science Technology A, 1,168<br />

(1983).<br />

[28] P. J. Van Ekeren, M. H. O. Jacobs, J. C. A. Offringa, and C. O. De Kruif,<br />

J. Chern. Thermodynamics IS, 409 (1983).<br />

[29] Fujio Tamura, United States Patent 5,033,306 (1991).<br />

[30] J. H. Martin and W. P. Kelley, United States Patent 5,528,939 (1996).<br />

[31] J. H. Martin, United States Patent 5,939,635 (1999).<br />

[32] R. C. Gutierrez, C. B. Stell, T. K. Tang, V. Vorperian, J. Wilcox, K.<br />

Shcheglov and W. J. Kaiser, United States Patent 6,085,594 (2000)<br />

[33] R. Correale, C. Maccarrone and M. Busso, United States Patent US<br />

7,059,192 B2 (2006)<br />

[34] R. Correale, United States Patent US 7,334,481 B2 (2008).<br />

163


11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

Design and Development of Vibrational<br />

Mechanoelectrical MEMS Transducer for<br />

Micropower Generation<br />

Rolanas Dauksevicius 1 , Genadijus Kulvietis 1 , Vytautas Ostasevicius 2 , Ieva Milasauskaite 2<br />

1 Department of Information Technologies, Vilnius Gediminas Technical University<br />

Sauletekio al. 11, LT-10223 Vilnius, Lithuania<br />

2 Institute for High–Tech Development, Kaunas University of Technology<br />

Studentu str. 65, LT-51369 Kaunas, Lithuania<br />

Abstract- The paper is devoted to design, numerical<br />

modeling and analysis of vibration-driven mechanoelectrical<br />

MEMS transducer based on piezoelectric cantilever-type<br />

microstructure, which function is to act as a micropower<br />

generator in wireless sensor networks. This study also deals<br />

with fabrication and experimental investigation of<br />

piezoelectric PVDF thin films intended for energy harvesting<br />

applications. The first part of the paper presents finite element<br />

model of the transducer, which is a multiphysics one,<br />

combining mechanics, piezoelectricity and fluid-structure<br />

interaction in the form of squeeze-film damping governed by<br />

nonlinear compressible isothermal Reynolds equation.<br />

Subsequently the model is subjected to modal, harmonic and<br />

transient analyses in order to determine the effect of viscous<br />

air damping and geometrical parameters on device dynamical<br />

and electrical performance. The second part of the paper<br />

considers aspects of formation of PVDF thin films. The quality<br />

of the produced thin films and their material characteristics<br />

are evaluated by means of scanning electron and atomic force<br />

microscopy as well as using X-ray diffractometry and FT-IR<br />

spectrometry techniques. Performed experiments reveal that<br />

fabricated PVDF samples possess distinct crystalline phases,<br />

with alpha-phase being predominant.<br />

I. INTRODUCTION<br />

Constant progress in low-power electronics promotes<br />

rapid development of large variety of battery-operated<br />

portable, wearable, implantable and embedded devices<br />

including autonomous wireless sensors, which have huge<br />

potential in body area networks, condition monitoring and<br />

ambient intelligence applications. Despite the fact that<br />

energy density of batteries has increased by a factor of 3<br />

over the past 15 years [1], frequently their usage has a<br />

significant negative effect on device size and operational<br />

cost. For example, conducting their maintenance for a largescale<br />

sensor networks consisting of hundreds or thousands<br />

of sensor nodes may be extremely unpractical and<br />

uneconomical. In some cases batteries is not a feasible<br />

solution, e.g. in providing reliable long-term power to<br />

remote sensing systems that operate in harsh environments<br />

such as downholes in mining, oil/gas extraction as well as<br />

nuclear reactors, deep-sea or space applications. For this<br />

reason alternative approaches are the subjects of active<br />

research work worldwide. Several possibilities are<br />

considered including [1,2]: (a) energy storage systems with<br />

larger energy densities (e.g. miniaturized fuel cells),<br />

however, still significant work is required for the realization<br />

of commercial devices; (b) wireless powering solutions (as<br />

employed in RFID tags), however, their tailoring for more<br />

power intensive devices would require dedicated<br />

transmission infrastructures; (c) harvesting ambient energy<br />

by using vibration/motion or thermal energy, light or RF<br />

radiation, acoustic noise, etc. Energy harvesters can<br />

typically supply power in the range of 0.01 − 1 mW<br />

depending on the employed conversion principle.<br />

Meanwhile, the consumption of common wireless sensor<br />

nodes is between 1 and 20 μW, with values reaching up to<br />

100 μW for relatively complex nodes operating at high<br />

data-rates [1]. Kinetic energy harvesting is particularly<br />

attractive as structural vibrations are ubiquitous in the<br />

environment. For example, it is well suited for supplying<br />

energy to autonomous sensors in condition monitoring of<br />

industrial machines or civil structures. Piezoelectric and<br />

electromagnetic transduction mechanisms are considered to<br />

be the most promising for vibrational harvesters, while<br />

electrostatic devices are presently limited by their high<br />

impedance and output voltages, which reduce the amount of<br />

available current. Piezoelectric micropower generators<br />

(PMPGs) have the advantages of relatively simple geometry<br />

and fewer peripheral components. Moreover, it is not<br />

difficult to integrate microelectronic circuits on the same<br />

chip because the process for depositing both thin and thick<br />

piezoelectric films is a fairly mature technology [2,3].<br />

However, the majority of current micro-scaled PMPGs do<br />

not generate sufficient energy to directly power most<br />

electronics including MEMS-based devices. Significant<br />

research efforts are currently focused on two principal<br />

approaches for improving efficiency of these generators: (a)<br />

development of hybrid micropower supply units comprising<br />

both on-board storage and energy harvesting from<br />

environmental vibrations, optimization of energy generation<br />

164


l c<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

t c t p<br />

w c<br />

t m<br />

l<br />

w m<br />

(a)<br />

(b)<br />

h 0<br />

Air film<br />

Fig. 1. Schematic representation of the modeled PMPG, which consists of a<br />

double-layer cantilever structure (composed of supporting Si layer t c and<br />

piezoelectric layer t p atop) with proof mass at the free end. Air film of<br />

thickness h 0 is present between an imaginary fixed ground surface<br />

and the bottom boundary of the proof mass (drawn not to scale).<br />

TABLE I<br />

DESIGN PARAMETERS OF THE PMPG<br />

Description and symbol Value Unit<br />

Length of uniform cantilever l c 2500 μm<br />

Length of proof mass l m 1500 μm<br />

Width of cantilever w c 300 μm<br />

Width of proof mass w m 3000 μm<br />

Thickness of cantilever supporting layer t c 20 μm<br />

Thickness of piezoelectric layer t p 20 μm<br />

Thickness of proof mass t m 1000 μm<br />

Young’s modulus of supporting layer and proof mass (Si) E Si 200 GPa<br />

Density of supporting layer and proof mass (Si) ρ Si 2330 kg/m 3<br />

Poisson’s ratio of supporting layer and proof mass (Si) ν Si 0.33 -<br />

and accumulation subsystems; (b) improvement of charge<br />

density via material and structural enhancements including<br />

expansion of operating frequency range of the devices by<br />

means of tuning of their resonant frequency or widening<br />

their bandwidth [1-4].<br />

Research results reported in this paper deal with the<br />

design and material issues of the PMPGs. The second<br />

section presents finite element modeling and simulation<br />

results of the device with emphasis on dynamic analysis of<br />

viscous air damping (squeezed air-film) effects that may be<br />

encountered during operation of the micropower generator.<br />

The third section considers aspects of fabrication of PVDF<br />

thin films and provides results of their experimental<br />

characterization by using SEM, AFM, XRD and FT-IR<br />

analysis methods.<br />

II.<br />

FINITE ELEMENT MODELING AND SIMULATION<br />

A. Model Description<br />

The design of analyzed PMPG is based on bi-layer<br />

cantilever structure with proof mass at the free end (Fig. 1<br />

and Table I). The supporting cantilever layer and the proof<br />

mass are made from silicon, while PZT-5A is used for<br />

piezoelectric layer, which is positioned on the top of the<br />

supporting layer and is poled along the thickness direction<br />

resulting in transverse (“d 31 ”) operation mode. Such<br />

configuration is chosen since it enables the condition of low<br />

resonance frequency to be fulfilled. This is required in<br />

typical applications of vibrational PMPGs, i.e. powering<br />

of wireless sensors installed in industrial or civil structures.<br />

(c)<br />

(d)<br />

Fig. 2. The first four vibration modes of the analyzed PMPG: (a) the 1 st out-ofplane<br />

flexural mode (184 Hz), (b) the 1 st torsional mode (458 Hz), (c) the 2 nd<br />

torsional mode (1628 Hz), (d) the 2 nd out-of-plane flexural mode (1689 Hz).<br />

(a)<br />

(c)<br />

(d)<br />

Fig. 3. 3D contour plots illustrating distribution of air pressure forces in the<br />

gap for the corresponding structural mode shapes in Fig. 2.<br />

These environments commonly generate vibrations that are<br />

characterized by small acceleration (< 1g) and low<br />

frequencies (up to approximately 200 Hz).<br />

Finite element model of the PMPG was realized within<br />

COMSOL Multiphysics by employing the “Piezoelectric<br />

Application” mode. Piezoelectric layer has got electrodes on<br />

its bottom and top faces. Due to low thickness mechanical<br />

behavior of the electrodes may be neglected. Their electrical<br />

behavior is evaluated by imposing proper electrostatic<br />

boundary conditions: the bottom face is grounded, while the<br />

top one is set to “Floating potential” condition. For the rest<br />

of faces of the piezoelectric layer the condition of “Zero<br />

charge/Symmetry” is applied.<br />

When designing PMPGs with bulky proof mass at the end<br />

of the cantilever structure one should consider a possibility<br />

of manifestation of a specific case of viscous air damping<br />

phenomenon referred to as squeeze-film damping, which<br />

occurs when a structure of large lateral dimensions, that<br />

is in relatively close proximity to a fixed surface, vertically<br />

(b)<br />

165


Fig. 4. Amplitude-frequency characteristics of end point of the cantilever<br />

structure, obtained in the vicinity of the fundamental frequency<br />

of the PMPG in the presence of squeeze-film damping for a<br />

constant air-film thickness (h 0 = 50 μm) at different levels<br />

of ambient pressure p 0: 100 Pa, 1 kPa, 5 kPa, 10 kPa,<br />

25 kPa, 100 kPa (curves from top to bottom).<br />

Fig. 5. Amplitude-frequency characteristics of end point of the cantilever<br />

structure, obtained in the vicinity of the fundamental frequency of the<br />

PMPG in the presence of squeeze-film damping for a constant<br />

ambient pressure (p 0 = 100 kPa) at different air-film thickness h 0:<br />

50 μm, 75 μm, 100 μm, 150 μm (curves from bottom to top).<br />

The topmost curve (green) is a frequency<br />

response with damping excluded.<br />

moves towards this nearby rigid surface with a thin air-film<br />

in-between. Thus, if the bottom face (boundary) of the proof<br />

mass is located relatively close to some stationary ground<br />

surface, then during transverse motion of the mass its fairly<br />

small displacement in normal direction would compress (or<br />

pull back) a significant amount of air out of (or into) the<br />

narrow gap. However, the viscosity of the air will limit the<br />

flow rate along the gap, and thus the pressure will be<br />

increased inside the gap and act against the structure. The<br />

squeezed air-film between the mass and ground surface will<br />

likely to have a significant effect on PMPG dynamic<br />

behavior due to the induced counter-reactive pressure force<br />

that is exerted on the vibrating cantilever structure [5].<br />

Nonlinear compressible isothermal Reynolds equation is<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

usually used for modeling of squeeze-film damping<br />

occurring in micro-scale [6]:<br />

∂ ⎛ 3 ∂P<br />

⎞ ∂ ⎛ 3 ∂P<br />

⎞ ⎛ ∂P<br />

∂h<br />

⎞<br />

⎜ Ph ⎟ +<br />

⎜ Ph<br />

⎟ 12μ=<br />

eff ⎜h<br />

+ P ⎟ , (1)<br />

∂x<br />

⎝ ∂ ⎠ ∂yx<br />

⎝ ∂<br />

⎠ ⎝ ∂t<br />

∂t<br />

⎠<br />

μ<br />

eff =μ . (2)<br />

1.159<br />

⎛<br />

0PL<br />

⎞<br />

1+<br />

9.638<br />

⎜ a<br />

⎟<br />

⎝ hp 00 ⎠<br />

Here the total pressure in the gap P and the gap thickness h<br />

are functions of time and position (x, y). μ is the dynamic<br />

viscosity of the gas, μ eff is the effective viscosity coefficient,<br />

which is used to account for gas rarefaction effects (a model<br />

of T. Veijola [7] is used here; it is adopted by the COMSOL<br />

as one of the optional approaches), p 0 is the initial (ambient)<br />

pressure in the gap, L 0 is the mean free path of air particles<br />

at atmospheric pressure P a , and h 0 is the initial air-film<br />

thickness. For the P a = 101325 Pa, L 0 ≈ 65 nm. Total<br />

pressure in the gap is equal to P = p 0 + Δp, where Δp is an<br />

additional film pressure (variation) due to the squeezed airfilm<br />

effect.<br />

“Film Damping Application” mode, which uses (1) and<br />

(2), was added to the piezoelectrical model in order to<br />

simulate frequency and time responses of the PMPG taking<br />

into account the effect of squeeze-film damping (a<br />

linearized version of (1) is used for harmonic analysis).<br />

B. Numerical Analysis<br />

Numerical study of the developed PMPG finite element<br />

model commenced from the determination of the natural<br />

frequencies and the associated vibration mode shapes (Fig.<br />

2). Performed modal analysis indicates that the fundamental<br />

frequency of the PMPG is equal to 184 Hz. Fig. 2 illustrates<br />

the first four mode shapes: a) the 1 st out-of-plane flexural<br />

mode, b) the 1 st torsional mode, c) the 2 nd torsional mode, d)<br />

the 2 nd out-of-plane flexural mode. This analysis also<br />

provided results on distribution of air pressure forces in the<br />

gap when the structure is vibrating in its flexural and<br />

torsional resonant modes. Pressure mode shapes in Fig. 3<br />

reveal obvious coupling between structural displacements of<br />

the structure and pressure distribution in the gap. For<br />

example, in the 1 st torsional mode (Fig. 2(b)), the upward<br />

motion of left side of the proof mass corresponds to a<br />

concave profile in the respective region of pressure mode<br />

shape (Fig. 3(b)), which indicates the reduction of pressure<br />

in this part of the gap (i.e. decompression effect). And, in<br />

contrast, the downward motion of right side corresponds to<br />

a convex pressure profile – zone of increased pressure with<br />

respect to atmospheric (i.e. compression effect).<br />

The aim of the subsequent numerical experiments was to<br />

determine influence of viscous air damping on dynamical<br />

behavior of the PMPG and its generated voltage. The<br />

simulations were performed with zero structural damping.<br />

The model was subjected to sinusoidal kinematic excitation<br />

by applying vertical acceleration through body load that is<br />

equal to F z =aρ in each subdomain, where a=Ng (N=0.1,<br />

g=9.81 m/s 2 ) and ρ is density of the corresponding material<br />

(Si or PZT-5A).<br />

166


Vp (V)<br />

3,5<br />

3,0<br />

2,5<br />

2,0<br />

1,5<br />

1,0<br />

0,5<br />

0,0<br />

-0,5<br />

-1,0<br />

3,090<br />

0,464<br />

0,050<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

0,025<br />

0,022<br />

0,021<br />

0,020<br />

0,020<br />

0,01 0,1 1 10 100<br />

Ambient pressure P 0 (kPa)<br />

Fig. 6. Peak voltage vs. ambient pressure for a constant air-film thickness<br />

h 0 = 50 μm.<br />

Vp (V)<br />

9,0<br />

8,0<br />

7,0<br />

6,0<br />

5,0<br />

4,0<br />

3,0<br />

2,0<br />

1,0<br />

0,0<br />

0 100 200 300 400<br />

Air-film thickness h 0 (μm)<br />

Fig. 7. Peak voltage vs. air-film thickness for a constant ambient pressure<br />

p 0 = 100 kPa.<br />

Firstly, frequency response analysis was conducted with<br />

parametric solver that was applied in order to sweep over<br />

the frequency range of 0 − 250 Hz. Thereby a series of<br />

amplitude-frequency characteristics were computed with<br />

different values of initial ambient pressure p 0 (Fig. 4) and<br />

air-film thickness h 0 (Fig. 5) since they determine the<br />

magnitude of the induced squeeze-film damping. Results in<br />

Fig. 5 demonstrate that when the PMPG operates under<br />

conditions of atmospheric pressure, air-film thickness of<br />

less than 100 μm leads to significant reduction of vibration<br />

amplitude implying a high level of air damping. The<br />

resonance peak is suppressed for h 0 less than approximately<br />

25 μm. Fig. 4 reveals that in the case of h 0 = 50 μm,<br />

ambient pressures of more than 1 kPa severely dampen the<br />

motion. It should be noted that the exerted squeeze-film<br />

damping force is nearly the same for ambient pressures that<br />

are in the range of 25 − 100 kPa. Computations reveal that if<br />

the PMPG has to operate under h 0 of less than 50 μm, the<br />

working environment should be rarefied to pressures not<br />

exceeding 10 Pa in order to avoid excessive levels of air<br />

damping. This is also confirmed by results in Fig. 6, which<br />

exhibits variation of generated peak voltage as a function of<br />

ambient pressure when h 0 = 50 μm. The graph reveals a<br />

pronounced increase in voltage when p 0 is reduced from 100<br />

Pa to 10 Pa. Fig. 7 illustrates that influence of air damping<br />

when operating at atmospheric pressure is also appreciable<br />

for larger air gaps of several hundred micrometers.<br />

Fig. 8. Time responses of end point of the cantilever structure to a harmonic<br />

base excitation at 192 Hz for p 0 = 100 kPa and with different air-film<br />

thickness h 0: 10 μm, 25 μm, 50 μm, 100 μm (curves from bottom<br />

to top in positive y-axis direction). The topmost curve (green)<br />

is a time response with damping excluded.<br />

Squeeze-film damping influence on PMPG dynamics is<br />

also obvious from results of transient analysis (Fig. 8),<br />

which was carried out by applying sinusoidal kinematic<br />

excitation at frequency of 192 Hz, which is close to the<br />

fundamental frequency of the transducer. One can observe<br />

that under atmospheric pressure air gaps of less than 100<br />

μm significantly reduce vibration amplitude, which is in<br />

agreement with findings of harmonic analysis.<br />

III.<br />

FABRICATION AND CHARACTERIZATION OF<br />

PIEZOELECTRIC THIN FILMS<br />

A. Formation of PVDF Films<br />

A mixture of PVDF pellets and granules (Mr ~ 180,000;<br />

Mw ~ 534,000; produced by Aldrich) was chosen for the<br />

purpose of this research since they could be easily dissolved<br />

in common polar solvents, resulting in relatively simple<br />

PVDF thin film formation process. Dimethylformamide<br />

(DMF) was chosen as a solvent ([8-10] reports that PVDF<br />

dissolves better in polar solvents), and 10%wt PVDF<br />

solution was produced while stirring the mixture of PVDF<br />

and DMF at 100°C for 20 minutes. Parallel to, silicon<br />

substrate was cleaned by boiling it in acid cleaner, treating<br />

ultrasonically in acetone and etching with plasma. The<br />

solution was transferred onto the substrate by means of dip<br />

coating, later on drying the solvent at 110°C for 10 minutes<br />

and melting the produced film at 200°C for another 10<br />

minutes in electric furnace. As a result, PVDF film of 15<br />

μm thickness was obtained.<br />

B. Experimental Study<br />

The main purpose of the experimental investigation was<br />

to analyze the morphology and crystallinity of produced<br />

PVDF thin film since these are one of the most important<br />

parameters affecting piezoelectric PVDF properties. To<br />

date, at least four crystalline structures – β, γ, δ and ε – with<br />

permanent dipole moment are described for PVDF. In all<br />

these crystal forms the chains are packed in the unit cell in<br />

167


(a)<br />

Fig. 9. Morphology of PVDF thin film obtained by means of industrial<br />

microscope Nikon Eclipse LV150. Magnification: (a) 10×, (b) 100×.<br />

(a)<br />

(b)<br />

Fig. 10. SEM micrographs obtained at magnification of: (a) 325×, (b) 1900×.<br />

(b)<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

different magnification levels: a) 325×, b) 1000×. The<br />

results confirm the formation of spherulitic structure with<br />

spherulites of 3-5 μm that are characteristic to β phase, and<br />

are particularly clearly visible in Fig. 10 (b).<br />

Final morphology analysis was performed by means of<br />

atomic force microscope MTM NT-206, while “Surface<br />

View” software was used for data processing, visualization<br />

and analysis. The roughness of the surface was determined<br />

to be relatively high as indicated by high average roughness<br />

(R a ) and root means square (R q ) values. Data analysis and<br />

Fig. 11 also reveal that investigated surface is dominated by<br />

deep valleys (skewness coefficient R sk is negative).<br />

Moreover, Fig. 12 proves the existence of two different<br />

phases of formed PVDF film. In general, the overall<br />

microscopy results comply well with those, described in<br />

other scientific papers and reveal that α phase is<br />

predominant over β in case of the analyzed PVDF sample.<br />

Assumption that α phase is more easily obtained as<br />

always resulting from melt crystallization at any<br />

temperatures was also verified by IR analysis, which was<br />

performed by Nicolet 6700 FT-IR spectrometer. The<br />

measurements were taken in the range of 500 – 1500 cm -1 ,<br />

which covers the fingerprint region for crystalline phases of<br />

PVDF. Intensive absorption bands at 611, 766, 797, 855,<br />

975 and 1414 cm -1 correspond to α phase, whereas β phase<br />

is revealed only by peak at 1251 cm -1 in Fig. 13.<br />

The same applies to diffractogram of PVDF sample<br />

registered by DRON-3 X-ray powder diffractometer with<br />

Cu Kα radiation. XRD spectra α usually contain sharp peaks<br />

due to crystallities, which in Fig. 14 are observed at<br />

2θ=20.14° (referent to the sum of the diffractions in plane<br />

(110) and (200) characteristic to β phase) and 18.30°<br />

(referent to the diffraction in (020) plane, characteristic to α<br />

phase) as well as 26.52° (referent to the diffraction in (021)<br />

plane, characteristic to α phase). The respective d spacing<br />

was calculated for mentioned values of 2θ and is presented<br />

in Table II.<br />

TABLE II<br />

CALCULATED d SPACING VALUES FOR 2θ<br />

Phase α Phase β Phase<br />

2θ 18.30 20.14 26.52<br />

d (Å) 4.848 4.405 3.353<br />

such a way that the dipoles associated with individual<br />

molecules are parallel, leading to a nonzero dipole moment<br />

of the crystal, yet in the fifth crystal modification (α)<br />

molecular dipoles are antiparallel and there is no net crystal<br />

dipole. Microscopy, X-ray and infrared (IR) tests may<br />

reveal the crystalline structures, as for each case there are<br />

typical documented results.<br />

Firstly, the morphology of thin film was obtained by<br />

means of industrial microscope Nikon Eclipse LV150. Fig.<br />

9 displays PVDF samples magnified by a factor of 10 and<br />

100. The images reveal that the surface of the films is<br />

homogeneous, with distinct spherulitic structure, indicating<br />

presence of different crystalline phases.<br />

Further insight into surface morphology was gained by<br />

means of versatile scanning electron microscope (SEM)<br />

Raith eLiNE. Fig. 10 presents micrographs obtained at<br />

Fig. 11. AFM images on PVDF samples: 3D surface morphology.<br />

168


11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

IV. CONCLUSIONS<br />

A multiphysics finite element model of a PMPG was built<br />

that couples mechanic, piezoelectric and fluidic domains.<br />

The latter is represented by air-film between bottom face of<br />

the proof mass and stationary ground surface. Nonlinear<br />

compressible isothermal Reynolds equation is used to<br />

evaluate counter-reactive pressure force that is generated by<br />

squeezed air-film during operation of the generator.<br />

Numerical dynamic analyses revealed that significant air<br />

damping may be induced under atmospheric pressure<br />

leading to substantial reduction of voltage output,<br />

particularly for air gaps below 100 μm. At gaps below 50<br />

μm (at atmospheric pressure), the generated open circuit<br />

voltage is negligible as the exerted air pressure force is so<br />

Fig. 12. AFM images on PVDF samples: 3D phase identification. high that it suppresses the resonance. In this case only<br />

reduction of ambient pressure below ca. 10 Pa may restore<br />

power generation capability of the PMPG. These results<br />

demonstrate that during design of the device its<br />

configuration has to be tailored so as to minimize<br />

detrimental influence of squeeze-film damping.<br />

Application of PVDF films is planned for fabrication of<br />

the PMPG in the future. This study reported initial results of<br />

experimental characterization of morphology and<br />

crystallinity of the produced polymer films with thickness<br />

of 10 − 20 μm. Application of different analysis techniques<br />

revealed that α phase dominates in all the samples.<br />

ACKNOWLEDGMENT<br />

This research was performed under postdoctoral<br />

fellowship, which is funded by EU Structural Funds project<br />

“Postdoctoral Fellowship Implementation in Lithuania”.<br />

Fig. 13. FT-IR absorption spectra of PVDF sample.<br />

Fig. 14. X-ray diffractogram.<br />

REFERENCES<br />

[1] R.J.M. Vullers, R. van Schaijk, I. Doms, C. Van Hoof, R. Mertens,<br />

"Micropower energy harvesting," Solid-State Electron., vol. 53,<br />

pp. 684-693, 2009.<br />

[2] K.A. Cook-Chennault, N. Thambi, A.M. Sastry, "Powering<br />

MEMS portable devices - a review of non-regenerative and<br />

regenerative power supply systems with special emphasis on<br />

piezoelectric energy harvesting systems," Smart Mater. Struct.,<br />

vol. 17, 2008.<br />

[3] A. Khaligh, P. Zeng, C. Zheng, "Kinetic Energy Harvesting Using<br />

Piezoelectric and Electromagnetic Technologies−State of the Art,"<br />

IEEE Trans. Ind. Electron., vol. 53(3), pp. 850-860, 2010.<br />

[4] D. Zhu, M.J. Tudor, S.P. Beeby, "Strategies for increasing the<br />

operating frequency range of vibration energy harvesters: a<br />

review," Meas. Sci. Technol., vol. 21, 2010.<br />

[5] R.M. Lin, W.J. Wang, “Structural Dynamics of Microsystems -<br />

Current State of Research and Future Directions,” Mech. Syst. Sig.<br />

Process., vol. 20, pp. 1015-1043, 2006.<br />

[6] K.S. Breuer, “Chapter 9. Lubrication in MEMS,” in The MEMS<br />

Handbook, M. Gad-el-Hak, ed. CRC Press, 2002.<br />

[7] T. Veijola, H. Kuisma, and J. Lahdenperä, “The influence of gassurface<br />

interaction on gas film damping in a silicon<br />

accelerometer,” Sens. Actuators, A, vol. A66, pp. 83-92, 1998.<br />

[8] J. Inderherbergh, "Polyvinylidene fluoride (PVDF) appearance,<br />

general properties and processing," Ferroelectrics, vol. 115, pp.<br />

295-302, 1991.<br />

[9] R. Gregorio, "Determination of the alpha, beta, and gamma<br />

crystalline phases of poly(vinylidene fluoride) films prepared at<br />

different conditions," J. Appl. Polym. Sci., vol. 100(4), pp. 3272-<br />

3279, 2006.<br />

[10] V. Sencadas, R.G. Filho, "Processing and characterization of a<br />

novel nonporous poly(vinilidene fluoride) films in the beta phase,"<br />

J. Non-Cryst. Solids, vol. 352, pp. 2226-2229, 2006.<br />

169


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Interfacial Configurations and Mixing Performances<br />

of Fluids in Staggered Curved-Channel Micromixers<br />

Jyh Jian Chen, Chun Huei Chen, and Shian Ruei Shie<br />

Department of Biomechatronics Engineering, National Pingtung University of Science and Technology<br />

1, Shuefu Road, Neipu, Pingtung 91201, Taiwan<br />

Abstract- A parallel laminar micromixer with staggered<br />

curved channels is designed and fabricated in our study. The<br />

split-and-recombination (SAR) structures of the flow channels<br />

result in the reduction of the diffusion distance of two fluids.<br />

Furthermore, the impinging effects increase the mixing<br />

strength whereas one stream is injected into the other. The<br />

particles trajectories are utilized to numerically examine the<br />

mixing and fluidic behaviors inside the staggered curved<br />

microchannel with tapered structures. The effects of various<br />

Reynolds numbers and channel configurations on mixing<br />

performances are investigated in terms of the experimental<br />

mixing indices and the computational interfacial patterns.<br />

I. INTRODUCTION<br />

Because of the vast application fields of micromixers, such<br />

as DNA hybridization [1], direct methanol fuel cell (DMFC)<br />

[2] and cell sorting [3], the mixing efficiency in these devices<br />

is very important for the overall process performance. With<br />

the progressing of microfabrication technology, micromixers<br />

gradually move from the sub-systems of micro total analysis<br />

systems into the crucial components of MEMS. Mixture of<br />

fluids in a microchannel is strongly restricted to molecular<br />

diffusion due to the low Reynolds number. In order to speed<br />

up the mixing process in microfluidic systems, passive<br />

micromixers with the advantages of low cost, easy<br />

fabrication and no additional power have been applied in the<br />

development to enhance mixing processes.<br />

Parallel laminated mixers with simple two-dimensional<br />

structures are fabricated without difficulty, and mixing in<br />

such laminar flows can be very easily enhanced. Two<br />

representative micromixers were discussed in detail before.<br />

One design splits the main stream into several narrow<br />

streams and rejoins them together. A circular vortex<br />

micromixer with several tangential inlets was presented by<br />

Bohm et al. [4]. The mixing could be performed in a shorter<br />

timescale. The other design is a device with multiple<br />

intersecting channels. Nguyen et al. [5] demonstrated a<br />

micromixer with a square obstacle on the square-wave flow<br />

channel. Results showed that mixing index increased rapidly<br />

with decreasing microchannel width.<br />

When liquid is directed through curved channels, the fluid<br />

at the center experiences a higher centrifugal force than the<br />

surrounding liquid. Therefore, a pair of counter-rotating<br />

vortices is generated and ejects fluid toward the outer wall;<br />

this will enhance the stretching and folding of the flow<br />

element. This mechanism has been employed by many<br />

researchers for heat transfer enhancement [6, 7]. These<br />

vortices (known as Dean Vortices) as a result of differential<br />

centrifugal forces acting on the fluid at the center and at the<br />

surrounding regions also provide enhanced mixing. Howell<br />

et al. [8] fabricated a micromixer with three quarters of a<br />

circular channel. The longitudinal variation of the radial<br />

distribution of the dye is evident. While increasing the aspect<br />

ratio increases the mixing. Yamaguchi et al. [9] expressed<br />

that the interface configuration was affected by secondary<br />

flows induced by centrifugal forces. Simulation results were<br />

validated by images through confocal fluorescence<br />

microscope. Jiang et al. [10] presented a channel comprising<br />

four circular arcs and two straight inlet and outlet sections.<br />

For Dean Numbers, K, larger than 143 (corresponding to<br />

Reynolds numbers, Re, of 313), the interface stretching got<br />

increased and it indicated that chaotic mixing occurred.<br />

Kockmann et al. [11] presented the concentration<br />

distribution in a channel with a 90° bend. The length of the<br />

interface was enlarged by the vortex flow, and the potential<br />

for an exchange of the liquids was increased. Sudarsan and<br />

Ugaz [12] demonstrated a planar split-and-recombine<br />

micromixer. Parallel liquid streams first traveled through a<br />

curved segment that induced simultaneous 90° rotations in<br />

the upper and lower halves of the channel, at which point the<br />

flow was spilt into multiple streams that continued along<br />

curved trajectories such that each individual split stream<br />

experienced a second pair of 90° rotations. It was capable of<br />

generating multiple alternating lamellae of individual fluid<br />

species. Mouza et al. [13] illustrated a micromixer that<br />

comprises a semicircular curved channel and a<br />

split-and-recombine unit consisting of two semicircular<br />

microchannels that form a circle. At relatively low flow<br />

rates, where the secondary Dean flows were weak, the<br />

addition of geometrical features considerably promoted fluid<br />

mixing.<br />

A two-dimensional curved rectangular channels is<br />

designed in our study. The flow system is composed of<br />

several staggered three quarters of ring-shaped channels. The<br />

secondary flow patterns of the curved channels with various<br />

configurations are numerically and experimentally analyzed.<br />

In order to quantify the mixing as a function of the distance<br />

along the curved channel and the interfacial line length,<br />

linear regression is utilized to predict the interfacial line<br />

length at different mixing index.<br />

170


II.<br />

MATHEMATICAL MODEL AND NUMERICAL<br />

METHODOLOGY<br />

To study Dean Vortex flows with regard to mixing<br />

applications, the geometry of the curved channels and the<br />

schematic diagram of the physical features is expressed in<br />

Fig. 1. The flow system is composed of several staggered<br />

three quarters of ring-shaped channels. The angle between<br />

the lines from the center to two intersections of two<br />

consecutive channels is 90°, and the angle between two lines<br />

of the centers of three consecutive channels is 0°.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

channel. For an accelerated convergence, the algebraic<br />

multigrid (AMG) iterative method is applied for pressure<br />

corrections, and the conjugates gradient squared (CGS) and<br />

preconditioning (Pre) solvers are utilized for velocity and<br />

species corrections. The solution is considered converged<br />

when the relative errors of all independent variables are less<br />

than 10 -4 between successive sweeps.<br />

Poor grid systems can enhance numerical diffusion effects.<br />

If liquid fluids flow diagonally through the simulated grid,<br />

then the numerical effect takes the form of an extra high<br />

diffusion rate. In the proposed grid systems, meshing is<br />

generally aligned in the flow direction in the computational<br />

domain (Fig. 2). Grid-sensitivity tests are done for the preset<br />

Re with several grids. The values of mixing index at the<br />

outlet section for the five mesh densities are also shown in<br />

Table 1. Finally, the mesh density with 8.663×10 5 has been<br />

chosen for further investigation since the mixing indices at<br />

the specific location are almost the same and the numerical<br />

results are grid-independent.<br />

Fig. 1. Schematic diagram of the physical features.<br />

The numerical results presented in this work are based on<br />

the solution of the incompressible Navier-Stokes equation<br />

and a convection-diffusion equation for a concentration field<br />

by means of the finite-volume method.<br />

U 0=⋅∇ (1)<br />

<br />

−∇=∇⋅<br />

μ<br />

2∇+<br />

UPUU<br />

<br />

2<br />

DU<br />

∇=∇⋅<br />

φφρ<br />

(3)<br />

ρ (2)<br />

where U is the fluid velocity vector, ρ is the fluid density, P<br />

is the pressure, μ is the fluid viscosity, φ is the mass<br />

concentration and D is the mass diffusivity. Eq. (3) must be<br />

solved together with Eqs. (1) and (2) in order to achieve<br />

computational coupling between the velocity field solution<br />

and the concentration distribution.<br />

The dimensionless groups characterizing the Dean Vortex<br />

flows are the Reynolds number, which expresses the relative<br />

magnitudes of inertial force to viscous force.<br />

Re = UD H<br />

ν<br />

(4)<br />

where U, D H , and υ denote the velocity, the hydraulic<br />

diameter, and the kinematic viscosity, respectively, and the<br />

Dean number, which expresses the relative magnitudes of<br />

inertial and centrifugal forces to viscous force<br />

= Re<br />

(5)<br />

( ) 5.0<br />

H<br />

RDK<br />

where R is the radius of curvature.<br />

Three-dimensional structured grids are employed, and the<br />

SIMPLEC algorithm is used. All spatial discretizations are<br />

then performed using a second-order upwind scheme with<br />

limiter. The simulation is carried out for a steady state using<br />

the commercial software CFD-ACE+ TM . A fixed-velocity<br />

condition is set at the inlet; the boundary condition at the<br />

outlet is a fixed pressure. At the inlet, the concentrations<br />

normalized to 1 and 0 are prescribed in the halves of the<br />

Number of nodes<br />

Fig. 2. The grid system in the computational domain.<br />

Table 1 The analysis of the grid size independence.<br />

Mixing index<br />

Relative difference<br />

in mixing index<br />

3.577×10 5 0.794 -<br />

4.992×10 5 0.754 5.301%<br />

6.582×10 5 0.725 4.001%<br />

8.663×10 5 0.703 3.129%<br />

9.984×10 5 0.695 1.151%<br />

The uniformity of mixing at sampled sections is assessed<br />

by determining the mixing index of the solute concentration.<br />

The standard deviation of the concentration on a cross<br />

section normal to the flow direction is calculated. And the<br />

standard deviation on the inlet cross section is also computed<br />

and introduced to normalize the one on the specific cross<br />

section. Thus the mixing index can be obtained. The mixing<br />

index φ of the solute concentration, which is defined as<br />

and<br />

σ<br />

D<br />

ϕ 1−= (5)<br />

σ<br />

D 0,<br />

1<br />

σ =<br />

II (6)<br />

D<br />

N<br />

2<br />

∑(<br />

i<br />

−<br />

ave<br />

)<br />

N i=<br />

1<br />

where σ D is the standard deviation of the concentration on a<br />

cross section normal to the flow direction, σ D,0 is the standard<br />

deviation on the inlet cross section, I ave is the averaged value<br />

of the concentration over the sampled section, and I i is the<br />

171


concentration value. The mixing index φ range is from 0 for<br />

no mixing to 1 for complete mixing.<br />

IV.<br />

FABRICATION PROCESS AND FLOW VISUALIZATION<br />

For experimental characterization of mixing performance<br />

of passive micromixers, the staggered microstructures with<br />

curved channels are fabricated. The mixer geometry consists<br />

of a structure comprising a three-quarter ring-shaped channel<br />

and two three-eighth ring-shaped channels per segment. The<br />

flow device is fabricated using a replica molding method.<br />

Initially, a thin film is fabricated by patterning a cleaned<br />

silicon wafer with epoxy-based negative photoresist (SU-8).<br />

The resist is then soft baked on a level hotplate. The channel<br />

pattern is fabricated by photolithography using a chrome<br />

photomask. After development, the master is washed and<br />

baked to fix the photoresist. Once the mold is complete, the<br />

wafer is rinsed in deionized (DI) water and dried with<br />

nitrogen. After pouring the polydimethylsiloxane (PDMS)<br />

prepolymer mixture onto the wafer, microstructures are<br />

fabricated using a PDMS replica molding process. The<br />

PDMS prepolymer mixture which is thoroughly mixing the<br />

base solution and curing agent using a 10:1 weight ratio is<br />

degassed with a mechanical vacuum pump to remove air<br />

bubbles. The PDMS is then cured in an oven and the replicas<br />

are peeled off from the mold. The inlet and outlet holes are<br />

then drilled. Methanol is used as a surfactant to prevent<br />

oxygen-plasma-treated PDMS replica and glass slide from<br />

being irreversibly bonded when aligned improperly. After<br />

bonding, the designed microchannels which consist of<br />

twenty two identical mixing elements are fabricated. Figure<br />

3 shows the image of the microchannel for one typical<br />

micromixer in this study. The radius of curvature of the<br />

channel is 550 μm. The entrance and outlet of the<br />

microchannel have 0.01 mm 2 square cross-section.<br />

Fig. 3. The image of the fabricated microchannel made of PDMS.<br />

For the mixing experiment in pressure-driven flows, two<br />

different fluids are injected into the microchannels using a<br />

programmable syringe pump (KDS-101, kdScientific Inc.,<br />

USA) at preset constant flow rates, shown in Fig. 4. The flow<br />

rates are ranging from 0.003 ml/min to 0.3 ml/min<br />

corresponding to the Re from 0.5 to 50. The experimental<br />

setup for testing the performance of the fabricated<br />

micromixers is described as follows. Two syringes are<br />

loaded with 0.31 mol/L phenolphthalein and 0.33 mol/L<br />

NaOH dissolved in 99% ethanol. The NaOH solution shows<br />

a pH value of about 13. Phenolphthalein solution, as a pH<br />

indicator, has a characteristic of changing color from<br />

transparent to purple at pH values greater than 8. As a result<br />

of the rapid reaction between phenolphthalein and NaOH,<br />

the interface between two streams turns purple within a<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

negligible time [14]. Once the steady state is attained, the<br />

color changes are observed by an optical microscope<br />

(Eclipse 50i, Nikon, Japan) and CCD camera (DC80, Sony,<br />

Japan). Images are captured at each segment along the<br />

downchannel direction. The captured images are converted<br />

into grayscale images that give the luminance of the image in<br />

256 levels, and analyzed using image processing software<br />

(MATLAB, The MathWorks, Inc., USA).<br />

Fig. 4. The setup of the measurement system.<br />

Confocal fluorescence microscopy system is used for<br />

observing of the interface of the water and fluorescent<br />

solution in a three-dimensional manner. To verify the<br />

simulation results, we use a confocal microscope (Leica TCS<br />

SP2, Leica Corp., Germany) to monitor the mixing behaviors<br />

at the cross-sections of the mixing channel. One syringe is<br />

filled with fluorescent solution (99 % DI water and 1 %<br />

Rhodamine B, Fluka, Germany) while the other is filled with<br />

DI water only. The images of the fluorescent solution are<br />

excited at 543 nm with a He-Ne green laser and the signal of<br />

fluorescent emission can be detected in red (585 to 615 nm).<br />

Only the portion containing rhodamine emits light when<br />

exposed to laser. The fluorescence is monitored with a<br />

confocal microscope equipped with an air objective (10× /0.4,<br />

∞/0.17/A). The XY cross-section is scanned with a<br />

resolution of 102×1024, and the YZ cross-section is scanned<br />

with a resolution of 1024×240 (total distance along the z-axis<br />

is 100 μm with an interval of 1 μm). The micromixers are<br />

designed for investigating the effects of various operational<br />

and geometric parameters on mixing.<br />

IV. RESULTS AND DISCUSSION<br />

A staggered curved-channel micromixer is designed and<br />

fabricated in our study. The inlet and outlet have square 100<br />

μm cross-section. As the width of the channel is constant, it<br />

equals 100 μm. When the width of the three-quarter ring is<br />

tapered, it is reduced from 100 μm to 50 μm, shown in Fig. 5.<br />

The depth of the channel is always kept at 100 μm. And then<br />

the hydraulic diameter, D H , is equal to 100μm. Results are<br />

performed for K ranging from 0.23 to 22.36, corresponding<br />

to Re between 0.5 and 50.<br />

Outlet:H 100μm×W 50μm<br />

Fig. 5. The layout of the micromixer with geometric scales.<br />

Transverse Dean Flows arise from centrifugal forces when<br />

172


fluids travel along a curved channel. This effect induces a<br />

secondary flow. Figure 6 shows concentration distributions<br />

and vector planes of various cross sections a-e. The<br />

simulation results and confocal images are illustrated at an<br />

inlet velocity of 0.5 m/s. Re is equal to 50, and K equal to<br />

22.36. The red- and blue-colored liquids are utilized in the<br />

computation. The fluid with red color stands for species A,<br />

and the fluid with blue color for species B. Fluid flows<br />

around a curved channel and the fluid near the center<br />

experiences a larger centrifugal force than that near the<br />

surrounding. The velocity along the central axis is the largest<br />

and is the most strongly affected by the centrifugal forces.<br />

Two counter-rotating vortices coinciding with its plane of<br />

curvature, above and below the symmetry plane of the<br />

channel, are created. As a result, fluid is transported in the<br />

outward direction and is transported back by recirculation<br />

along the channel walls. Thus, the vertical interface that<br />

crosses the central axis is distorted. As the fluid proceeds to<br />

the curved channel, main stream is separated as two streams.<br />

Fluids in the angled channels show blue fluids surrounded by<br />

red fluids and the lamellae of two species. It accompanies by<br />

a corresponding increase in interfacial area, shown in Figs.<br />

6(a) and (c). In vector planes, the length of the arrow means<br />

the magnitude of the velocity vector. Compared with the<br />

vector planes between two branch channels, a large amount<br />

of fluid tends to flow along the original curved channel (Fig.<br />

6(b)) and the rest of the fluid moves into the angled channel<br />

(Fig. 6(a)). The uneven split of two fluids inside the<br />

staggered channels can be observed. Then two streams<br />

merge and it produces a strong impact around the<br />

interconnection (Fig. 6(e)). And then the fluid is divided into<br />

two sub-streams again. The vertical interface observed in the<br />

inlet is heavily and permanently distorted by the Dean<br />

Vortex, SAR microstructures and the impinged effect. The<br />

mixing performance is increased. Confocal images are used<br />

to qualitatively compare with the computational results. The<br />

fluorescence images are classified into two distinct regions, a<br />

red region from rhodamine and a black region from DI water.<br />

The results of numerical results are compatible with the<br />

visual experiment.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

(c)<br />

(d)<br />

(e)<br />

Fig. 6. The mixing characteristics at nine cross-sectional areas along the<br />

downchannel.<br />

A particle trajectory is the path of a particle moving in a<br />

fluid. Being able to visualize this trajectory can be very<br />

helpful in understanding flow patterns and flow distribution.<br />

A streak line is defined as a line formed by the particles<br />

which pass through a given location in the flow field. At the<br />

steady state, the streak lines coincide with the particle<br />

trajectories. In this study, the streak lines are determined by<br />

integrating the vector equations for motion and obtained<br />

from CFD-ACE+ TM software. Top view of streak lines<br />

through the mixing channels is depicted in Fig. 7(a). The<br />

streak lines stretch from the inlet, then split into two streams,<br />

and merge into one main stream. It shows most of the fluid<br />

keeps flowing in the original channel and the rest of the fluid<br />

flows into the angled channel. After passing the second split<br />

portion, a similar trend can be observed. This uneven split of<br />

the streams increases the contact surface of the mixing fluids.<br />

Fig. 7(b) demonstrates the magnified images of the streak<br />

lines near the two split portions of the curved channel marked<br />

by two blue ovals in Fig. 7(a). Two streams merge and<br />

produce an impact around the interconnection. Due to the<br />

split-and-recombine and the impinging effects, the mixing<br />

performance can be improved.<br />

(a)<br />

(a)<br />

(b)<br />

(b)<br />

Fig. 7. (a) Top views of streak lines through the mixing channels. (b) Top views<br />

of streak lines through the mixing channels at the interconnection.<br />

173


The mixing length is a distance that a fluid will keep its<br />

original characteristics before dispersing them into the<br />

surrounding fluid. By means of the mixing length, the<br />

required channel length of the micromixer can be<br />

demonstrated. Fig. 8 shows a series of images captured at<br />

specific segments of the channels at specific flow velocity,<br />

and the fabricated microchannels which consist of twenty<br />

two identical mixing elements are studied. The flow rates are<br />

0.15 ml/min corresponding to the Re equal to 25 and K equal<br />

to 11.18. As shown in Fig. 8(a), the flow proceeds forward<br />

near the inlet, the mixing of two fluids is only through<br />

molecular diffusion across the interface of the two liquids. So<br />

two parallel streams meet at the exact center of the channel<br />

and, thus, the interface is clearly observed in the channel.<br />

Then more reacted solution stream passes through the inner<br />

half of the channel and the variation of the concentration<br />

distribution along the radical direction can be seen clearly.<br />

Furthermore, the reacted solution is spread across the<br />

channel and multiple streams become visible. For the<br />

staggered curved channels with constant-width structures<br />

shown in Fig. 8(b), the similar results can be observed. With<br />

smaller impact effect near the recombined portions in the<br />

channels than that of staggered curved channels with tapered<br />

structures, it shows the mixing is not very well. The mixing<br />

performance of the continuous curved channels is<br />

demonstrated in Fig. 8(c). Lack of SAR structures the mixing<br />

is poorer than that of staggered curved channels with tapered<br />

structures. However, the path length per segment is the<br />

longest compared with that of staggered curved channels.<br />

The Dean Vortices inside the continuous curved channels<br />

induce strong secondary flows. The mixing is comparable to<br />

that of staggered curved channels with constant-width<br />

structures. The mixing index at twenty two specific locations<br />

is measured and calculated at different micromixers. The<br />

dashed line represents a mixing index equal to 0.9, and the<br />

mixing length is the channel length required for achieving<br />

the mixing index of 0.9. Mixing index of 0.9 denotes that<br />

mixing fluids are in a well mixed status. The resulting mixing<br />

lengths are at the seventh, eighteenth and over twenty-second<br />

segments corresponding to the downstream distances of 24.5<br />

mm, 63 mm and 77 mm, respectively. Due to the large vortex<br />

flow combined with the SAR effect and the impact effect,<br />

two fluids are folded into each other. Notably, due to the<br />

increases of the interfaces of the two fluids, mixing is<br />

improved.<br />

Staggered Curved Channels with Tapered structures<br />

1 5<br />

10 15<br />

(a)<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

Staggered Curved Channels with Constant-width structures<br />

1 5<br />

10 15<br />

(b)<br />

Continuous Curved Channels<br />

1 5<br />

10 15<br />

(c)<br />

(d)<br />

Fig. 8. Top view images of the specific segments of the staggered channel<br />

with tapered structure at specific Reynolds number. Mixing index changes<br />

along the downchannel direction of the micromixer for different<br />

micromixers.<br />

The increased interface area of two fluids can promote a<br />

mass transfer based on diffusion. The configurations of<br />

interfacial lines between two different fluids play an<br />

important role in the microchannels. The numerical results of<br />

the interfacial line length at four specific locations are<br />

calculated. Initially, the fluid interface is described by a<br />

vertical straight line across the inlet. The shapes of the<br />

interfaces of the cross-sectional planes for staggered curved<br />

channels with tapered structures at different Re are shown in<br />

Fig. 9(a). The flow near the central axis is the most strongly<br />

affected by the inertia. In the case of Re of 10, the interfacial<br />

distortion is negligible. For Re equal to 50, the interface is<br />

much more distorted. The interface stretching factors are<br />

poltted in Fig. 9(b) as a function of the number of mixing<br />

segments. This factor is defined as the interface length at a<br />

certain position divided by the initial interface length. From<br />

the figure, it is obvious that at Re=10 nearly no stretching<br />

occurs, while for Re=50, the stretching can be seen obviously.<br />

The plots of the interfacial line length as a function of<br />

174


measured mixing indices are depicted in Fig. 9(c). In order to<br />

quantify the mixing as a function of the distance along the<br />

curved channel and the interfacial line length, linear<br />

regression is utilized to predict the interfacial line length at<br />

different mixing index. From this linear equation the<br />

R-Squared value is equal to 0.960549. It can be found that<br />

the value is a high correlation and the value of mixing index<br />

can be predicted by the value of the interfacial line length.<br />

(a)<br />

(b)<br />

R-Squared=0.960549<br />

(c)<br />

Fig. 9. Experimental mixing indices for various interfacial line lengths changes<br />

along the downchannel direction of the micromixer.<br />

IV. CONCLUSION<br />

A parallel laminar micromixer with two-dimensional<br />

curved rectangular channels is designed and fabricated in our<br />

study. The flow system is composed of several staggered<br />

three quarters of ring-shaped channels. The centrifugal<br />

forces in curved flow channels make fluids to produce<br />

secondary flows. Two counter-rotating vortices, above and<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

below the symmetry plane of the channel, coincide with its<br />

plane of curvature. The bifurcation structures of the flow<br />

channels result in the reduction of the diffusion distance of<br />

two fluids. Furthermore, the impinging effects increase the<br />

mixing strength whereas one fluid is injected into the other<br />

fluid. The confocal fluorescence images demonstrate the<br />

changes of the cross-sectional concentration distributions<br />

along the downchannel direction. Phenolphthalein solution,<br />

as a pH indicator, is used for examining the mixing<br />

characteristics of three different curved channels. It can be<br />

seen that the mixing performance of the staggered curved<br />

channels with tapered structures shows superior. The shapes<br />

of the interfaces for staggered curved channels with tapered<br />

structures at different Re are investigated. Results reveal that<br />

the interface configuration of two fluids is affected by the<br />

secondary flows, and the value of mixing index can be<br />

predicted by the value of the interfacial line length..<br />

ACKNOWLEDGMENT<br />

The authors would like to thank the National Science<br />

Council of the Republic of China, Taiwan, for financially<br />

supporting this research under Contract No.<br />

99-2313-B-020-009-. And we are grateful to the National<br />

Nano Device Laboratories for MEMS processes.<br />

REFERENCES<br />

[1] M. K. McQuain, K. Seale, J. Peek, T. S. Fisher, S. Levy, M. A.<br />

Stremler, and F. R. Haseltona, “Chaotic mixer improves microarray<br />

hybridization,” Anal. Biochem., vol. 325, pp. 215-226, 2004.<br />

[2] C. Xie, J. Bostaph, and J. Pavio, “Development of a 2 W direct<br />

methanol fuel cell power source,” J. Power Sources, vol. 136, pp. 55-65,<br />

2004.<br />

[3] C. Chaktranond, K. Fukagata, and N. Kasagi, “Performance<br />

assessment and improvement of a split-and-recombine micromixer for<br />

immunomagnetic cell sorting,” J. Fluid Sci. Technol., vol. 3, pp. 1008-1019,<br />

2008.<br />

[4] S. Bohm, K. Greiner, S. Schlautmann, S. de Vries, and A. van den<br />

Berg, “A rapid vortex micromixer for studying high-speed chemical<br />

reactions,” Proceedings of the 5th International Conference on Micro Total<br />

Analysis Systems, micro-TAS 2001, pp. 25-27, 2001.<br />

[5] T. N. T. Nguyen, M. C. Kim, J. S. Park, and N. E. Lee, “An effective<br />

passive microfluidic mixer utilizing chaotic advection,” Sensor. Actuat.<br />

B-Chem., vol. 132, pp. 172-181, 2008.<br />

[6] P. M. Ligrani, S. Choi, A. R. Schallert P., Skogerboe, “Effects of<br />

Dean vortex pairs on surface heat transfer in curved channel flow,” Int. J.<br />

Heat Mass Tran., vol. 39, pp. 27-37, 1997.<br />

[7] E. A. Sewall, D. K. Tafti, A. B. Graham, K. A. Thole,<br />

“Experimental validation of large eddy simulations of flow and heat transfer<br />

in a stationary ribbed duct,” Int. J. Heat Fluid Fl., vol. 27, pp. 243-258, 2006.<br />

[8] P. B. Howell, Jr., D. R. Mott, J. P. Golden, and F. S. Ligler, “Design<br />

and evaluation of a Dean vortex-based micromixer,” Lab Chip, vol. 4, pp.<br />

663-669, 2004.<br />

[9] Y. Yamaguchi, F. Takagi, K. Yamashita, H. Nakamura, H. Maeda,<br />

K. Sotowa, K. Kusakabe, Y. Yamasaki, and S. Morooka, “3-D simulation<br />

and visualization of laminar flow in a microchannel with hair-pin curves,”<br />

AIChE, vol. 50, pp. 1530-1535, 2004.<br />

[10] F. Jiand, K. S. Dress, S. Hardt, M. Kupper, and F. Schönfeld,<br />

“Helical flows and chaotic mixing in curved micro channels,” AIChE, vol.<br />

50, pp. 2297-2305, 2004.<br />

[11] N. Kockmann, T. Kiefer, M. Engler, and P. Woias, “Convective<br />

mixing and chemical reactions in microchannels with high flow rates,”<br />

Sensor. Actuat. B-Chem., vol. 117, pp. 495-508, 2006.<br />

[12] A. P. Sudarsan, and V. M. Ugaz, “Multivortex micromixing,”<br />

PNAS, vol. 103, pp. 7228-7233, 2006.<br />

[13] A. A. Mouza, C. M. Patsa, and F. Schönfeld, “Mixing performance<br />

of a chaotic micro-mixer,” Chem. Eng. Res. Des., vol. 86, pp. 1128-1134.<br />

[14] E. F. Caldin, Fast reactions in solution, Wiley, New York, 1964.<br />

175


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Micro probe array fabrication by using the microlens<br />

array mask through proximity printing<br />

Tsung-Hung Lin 1 , Hsiharng Yang 2 , Ching-Kong Chao 3<br />

1 Graduate Institute of Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan<br />

2 Institute of Precision Engineering, National Chung Hsing University, Taichung, Taiwan 402<br />

3 Department of Mechanical Engineering, National Taiwan University of Science and Technology, Taipei, Taiwan 106<br />

Abstract- This study presents a novel and precision<br />

process to fabricate an array of micro metal probes. The<br />

process includes microlens array mask with the proximity<br />

printing in ultraviolet (UV) lithography and Ni electroforming<br />

technology. The tip formation of micro cone probe array<br />

utilizes the microlens array mask through geometrical optics.<br />

Due to the light pass through a microlens, a microlens has a<br />

focal point. The simulated results of various focal lengths using<br />

different diameter of microlenses, the different photoresist<br />

microcone probe array molds can be fabricated. The micro<br />

cone probe array will have great potential in the area of field<br />

emission display applications.<br />

I. INTRODUCTION<br />

Recently, the microprobes play important roles in<br />

many fields, such as probes used in scanning probe<br />

microscopy (SPM) [1] and atomic force microscope (AFM)<br />

[2], microprobes in field emission [3], probe cards [4] and<br />

data storage [5], and so on. The semiconductor and MEMS<br />

devices become smaller and testing process during their<br />

production should follow such a high density trend. The<br />

probe card provides the interface between test equipment<br />

and IC device. In the probe card, controlled collapse chip<br />

connection type probe is usually used and many groups have<br />

developed different types of probe card like cantilever type<br />

and vertical type. The cantilever type contact probe was<br />

used for the linearly arranged electrode pad. In case of the<br />

pads which are irregularly arranged on the entire area of a<br />

chip, the cantilever type contact probe cannot satisfy the<br />

requirement. The vertical type probe cards are required to<br />

measure the controlled collapse chip connection type<br />

devices which have an irregular arrangement. The primary<br />

approach fabrication processes for the vertical probe tip was<br />

bulk- micromachining using deep reactive ion etcher and<br />

electro-plating with the material of Ni. In this study, the<br />

fabrication of micro-cone vertical probe array is proposed<br />

here to provide a novel method. The micro-cone vertical<br />

probe tips with various angles were produced. The<br />

microprobes can be fabricated using several manufacturing<br />

processes, which create small mechanical structures of<br />

silicon, polymer and metal. However, the probe tip can have<br />

several shapes, such as, quadrilateral pyramid, and cone, etc.<br />

There are four main approaches for fabrication of the<br />

different material probe tips. The first is an etching<br />

technique that includes chemical etching and plasma etching<br />

[6, 7]. The silicon chemical etching uses silicon electrolytic<br />

anodization in aqueous hydrofluoric acid, in combination<br />

with light, to etch patterns onto the silicon. The chemical<br />

etching based techniques can produce very sharp tips using<br />

the under-cut control strategy. However, it is difficult to<br />

obtain high density arrayed micro probes with well<br />

controlled morphologies.<br />

Because the etching rate is hard to control and the<br />

working area is restricted by the wafer size, plasma etching<br />

has the drawback of requiring expensive plasma-based<br />

equipment. The second fabrication process to realize<br />

all-metal probes is to use a focused ion or electron beam to<br />

crack an organometallic gas. The resulting tips have a good<br />

aspect ratio and radius of curvature, but this serial process is<br />

slow and it is difficult control the shape of the tips [8]. The<br />

third approach for fabricating tips-shaped polymer<br />

microstructures with patterned metallic coatings has been<br />

developed. This process involves three techniques including<br />

micro-molding, patterned metal layer transfer, and<br />

electrochemical-base sacrificial layer [9].<br />

The fourth fabrication process for the probe tips is<br />

bulk-micromachining using a deep reactive ion etcher or<br />

multiple-exposure in ultraviolet (UV) lithographic and<br />

electro-plating with Ni or Ni–Co material [10-12]. This<br />

study presents a novel process for fabricating the micro<br />

metal probe array. The proposed process will have great<br />

potential in the area of field emission display applications.<br />

The fabrication method of the micro-cone vertical probe<br />

array is presented. The experimental results showed that<br />

micro metal vertical probes with 45° and 60° tip angles. The<br />

proposed method can precisely control the geometric profile<br />

of vertical probe array. This work also offers the new<br />

fabrication method for probe card fabrication.<br />

II. Lithography characteristics<br />

Microlens projection lithography is a kind of non-contact<br />

projection lithography [13]. A plano-convex micorlens<br />

comes in one of its surfaces plane (plano) and the other<br />

convex. Plano convex microlenses have a positive focal<br />

176


length, which enables them to focus the parallel light or<br />

make parallel light out of point. The proximity exposure<br />

operations using plano-convex microlens on a mask mode<br />

occur the effect of refractive focusing. Fig. 1(a) shows the<br />

simulation plots for focusing characteristics of the<br />

plano-convex microlens mask using the software TracePro.<br />

As a parallel beam passing through a glass layer (refractive<br />

index n glass =1.8) with thickness of 1mm and a plano-convex<br />

photoresist microlens (refractive index n Az4620 =1.55) with<br />

diameter of 60μm and height of 5um, the obtained focal<br />

length is about 112μm. Fig. 1(b) shows the intensity<br />

distribution in the photoresist and after development as the<br />

light passes through the microlens array mask. A smooth<br />

and convex cone light intensity profile in photoresist. The<br />

concave micro-cone profile can be fabricated through proper<br />

operational parameters using a positive photo-resist. The<br />

desired microstructures are formed after the development<br />

process.<br />

The exposure gap (d) was set to focal length of a<br />

plano-convex lens. Fig. 2 shows the simulated relationship<br />

between the exposure gap and diameter of lens. The final<br />

concave mold micro-structure geometry can be determined<br />

using the resulting intensity distribution after exposure and<br />

development.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig. 2. Relationship between the focal length and microlens diameter.<br />

III.<br />

EXPERIMENTAL SECTION<br />

A. Preparation of microlens arrays mask<br />

Microlens array in photoresist (AZ4620) was firstly<br />

fabricated. When photoresist patterns are formed by<br />

lithography process, the photoresist patterns can be heated<br />

above its glass temperature. It allows surface tension to<br />

produce plano-convex microlens array. The areas between<br />

neighboring microlenses can be covered with an opaque<br />

layer of metals to block the transmission of stray light. This<br />

layer of metals acts as an aperture stop that avoids the<br />

formation of features in the area of photoresist not covered<br />

by the lenses. Fig. 3 schematically shows the process for the<br />

fabrication of microlens array mask. Fig. 3 (a)(b)(c)(d) show<br />

the formation of aperture stops by lift-off of photoresist with<br />

metals and the production of aperture stops using<br />

sputter-deposited, respectively. The process requires the use<br />

of an aligner to fabricate microlens on top of the aperture<br />

stops in Fig. 3(e)(f).<br />

(a)<br />

(b)<br />

Fig. 1. Schematic diagram showing the UV light path from the<br />

source to the substrate, (a) UV light passing through a microlen<br />

mask to substrate, (b) focal length simulation for a microlens mask<br />

with 60μm in diameter and 5μm in height.<br />

Fig. 3. Fabrication of micrlens array mask with aperture stops using<br />

reflow of melted photoresist. (a) spin coating photoresist (AZ4620) on<br />

the glass substrate, (b) photoresist pattern defined by UV lithography<br />

process, (c) sputtering a metallic film over the substrate surface, (d)<br />

removing the photoresist with solvent, (e) fabricating microlens on the<br />

top of the aperture stops using the aligner, (f) thermal reflow of melted<br />

177


11-13 <br />

May 2011, Aix-en-Provence, France<br />

photoresist for microlens array formation.<br />

<br />

B. Microlens Photolithography<br />

Figure 4 shows the proposed micro probe array fabrication<br />

process. Desired patterns are transferred from the designed<br />

microlens array mask in the proximity printing ultraviolet<br />

(UV) lithographic process. In this experiment, a microlens<br />

array mask was fabricated using the thermal reflow process<br />

onto a glass. The each pohotoresist microlens with a<br />

diameter of 60μm , 70μm and the pitch distance for two<br />

adjacent microlens was 90μm. The upper and lower rows<br />

were arranged in equidistance. The Silicon wave substrate<br />

was then spun with a layer of positive photoresist (AZ4620)<br />

18μm thick. The spin condition was 500rpm for 40 seconds.<br />

Prebaking in a convection oven at 90℃<br />

for 3 minutes is a<br />

required procedure. This removes the excess solvent from<br />

the photoresist and produces a slightly hardened photoresist<br />

surface. The mask was not stuck onto the substrate. The<br />

sample was exposed through the microlens array mask using<br />

a UV mask aligner (EVG620). This aligner had soft, hard<br />

contact or proximity exposure modes with NUV (near<br />

ultra-violet) wavelength 350-450nm and lamp power range<br />

from 200-500 W. A slice of glass was inserted between the<br />

photoresist and microlens array mask to create a gap shown<br />

in Fig. 4a. The gap was adjusted to 100μm. Exposure was<br />

then conducted for about 40 seconds. The threedimensional<br />

array was completed after exposure and dip<br />

into the developer for 2 minutes and cleaning with deionized<br />

water. The micro-cone probe tips mold was produced as<br />

shown in Fig. 4(b).<br />

Fig. 4. Flow chart for micro probe array fabrication, (a) proximity UV<br />

exposure, (b) photoresist molding, (c) Ni electroforming, (d) micro probe<br />

array peel-off.<br />

C. Fabrication of micro metal probe tip<br />

Electroforming was carried out in a 10 L electroforming<br />

tank. The electroplating process requires a conductive layer<br />

to be deposited if the substrate itself is non-conductive.<br />

Therefore, a seed layer of copper (250 nm) was deposited<br />

usinganE-beam evaporator. The substrate is connected to a<br />

cathode, with nickel pellets acting as the anode. An in-tank<br />

circular filtration system including a filter tube and carbon<br />

treatment was used. The filter used in this work has 5 lm<br />

pores, which is the finest commercially available density<br />

tube. High purity is required in the electroforming process to<br />

avoid impurity deposits onto the microstructures. The<br />

template was placed into a Ni electroplating bath to form the<br />

metallic micro probes shown in Fig. 4c. The detailed<br />

ingredients of the Ni electroplating bath are listed in Table I.<br />

The deposition of Ni was uniformly controlled using an air<br />

pump for agitation to mix the electrolyte bath. Because of<br />

the micrometer range feature size at the end, a very slow<br />

deposition rate at 1 ASD was applied for 2 h to maintain the<br />

completed step coverage and duplication quality. The<br />

sample microstructure was observed as shown in Fig. 4d.<br />

Optical microscopy (OM) and a 3D surface profiler were<br />

used to measure the characteristics of the resulting<br />

microcone probe array structures.<br />

TABLE I Ni electrolyte composition<br />

Ni (NH2SO3)2_4H2O 500 (g L -1 )<br />

Boric acid 45(gL -1 )<br />

Current density 1 ASD (A dm -2 )<br />

pH 4<br />

Temperature 50 °C<br />

Agitation<br />

Air pump<br />

Wetting agent 3 (mL L -1 )<br />

Ⅳ. RESULTS AND DISCUSSION<br />

The lithography using microlen array mask can produce<br />

the micro-cone probe tips mold. As shown in Fig. 5, under<br />

the conditions of 150 °C high temperature and 10 minutes,<br />

patterns of microlens were successfully formed in the<br />

aperture stops over the whole glass substrate by using OM<br />

observation. From measurement, the microlens array was<br />

found to have a diameter of 60μm and height of 5μm.<br />

According to the experimental results, the micro cone probe<br />

arrays mold were classified after development using<br />

different focal length and diameter of lens. Fig. 6 shows<br />

micro probe arrays mold by using OM observation. As the<br />

exposure gap is 100μm and pohotoresist microlens with a<br />

diameter of 60μm, micro probe arrays mold with concave<br />

cone were formed. Then, using the exposure gap is larger;<br />

the photoresist structure is flat. The UV light and photoresist<br />

of gap is less; a flat down micro cone mold was formed. A<br />

small exposure gap is not suitable for micro cone mold<br />

fabrication because the thick photoresist will not have<br />

enough thickness to produce concave structures. The<br />

concave micro cone structure surface is quite smooth. The<br />

micro metal probes after the electroforming process. The Ni<br />

micro probes was fabricated. The 3D surface of Ni micro<br />

cone probe profiler was measured using 3-D surface profiler<br />

in Fig. 7. The fabricated structure was fine and had clear<br />

surface. Using the proximity ultraviolet (UV) lithography<br />

methodtofabricate micro cone probe mold and furthermore<br />

replication of Ni micro cone probe array is practicable.<br />

178


11-13 <br />

May 2011, Aix-en-Provence, France<br />

[1] C. Liu and R. Gamble,“Mass-producible monolithic silicon<br />

probes for scanning probe microscopes,”Sens. Actuators A:<br />

Phys., vol.71, pp.233–237, 1998.<br />

[2] C. Williams and D. Roy,“Fabrication of gold tips suitable for<br />

tip-enhanced Raman Spectroscopy, ”J. Vac. Sci. Technol.,<br />

pp.1761-1764, 2008.<br />

[3] M. Antognozzi, A. Sentimenti and U. Valdre,“Fabrication of<br />

nano-tips by carbon contamination in a scanning electron<br />

microscope for use in scanning probe microscopy and field<br />

emission,” Microsc. Microanal. Microstruct., vol. 8,<br />

pp.355–368, 1997.<br />

[4] B.H.Kim,H.C.Kimetal.,“A robust MEMS probe card with<br />

vertical guide for a fine pitch test,”J. Micromech. Microeng.,<br />

vol. 17, pp. 1350-1359, 2007.<br />

Fig. 5 OM photograph of microlen array mask in photoresist.<br />

[5] E.B. Cooper,“Terabit-per-square-inch data storage with the<br />

atomic force microscope,”Appl. Phys. Lett., pp. 3566–3568,<br />

1999.<br />

[6] L. Lin and A. P. Pisano,“Silicon-processed microneedles.”IEEE<br />

J Microelectromech Syst., vol8, pp.78–84, 1999.<br />

[7] S. Henry, D. V. McAllister, M. Allen and M. Prausnitz,<br />

“Microfabricated microneedles: a novel approach to<br />

transdermal drug delivery,”J. Pharm. Sci., vol. 87, pp.922–925,<br />

2000.<br />

[8] T. Akiyama et al.,“Fabrication and testing of an integrated force<br />

sensor based on a MOS transistor for applications in scanning<br />

force microscopy,”Proceedings of the IEEE Micro Electro<br />

Mechanical Systems (MEMS), p 141-146, 1997<br />

[9] H. Zhou et al.,“A new process for fabricating tip-shaped polymer<br />

microstructure array with patterned metallic coatings,”Sensors<br />

and Actuators A: physical, pp. 296-301, 2009.<br />

[10] J.Ryu,J.H.Kim,S.Chu,S.LeeandS.Moon,“Fabrication and<br />

Fig. 6.OM photograph of microcone probe array mold in photoresist.<br />

mechanical characterization of micro electro mechanical system<br />

based vertical probe tips for micro pad measurements,”The<br />

Japan Society of applied physics, vol. 45, pp.9238-9243 ,2006.<br />

[11] B.H.Kim,H.C.Kim,S.D.Choi,K.Chun,J.B.KimandJ.H.<br />

Kim,“A robust MEMS probe card with vertical guide for a fine<br />

pitch test,”J. Micrromech. Microeng., vol.17, pp. 1350-1359,<br />

2007.<br />

[12] T. H. Lin, H. Yang, C. K. Chao and M. S. Yeh,“New fabrication<br />

method for micro-pyramidal vertical probe array for probe<br />

cards,”Microsyst. Technol. vol.16, pp. 1215–1220, 2010.<br />

[13] Y. J. Weng et al.,“A study on the innovative microlens projection<br />

lithography applied to the production of microstructures,”<br />

Polym. Adv. Technol., vol. 18 , pp. 841-844, 2007.<br />

Fig. 7 Experimental results of the Ni micro cone probe array using<br />

three-dimensional profile measurement.<br />

V. CONCLUSION<br />

The fabrication method of the micro-cone probe array is<br />

presented. The fabrication process provides an effective<br />

way to manufacture a micro probe mold as the master<br />

mold for replication in mass production. The proposed<br />

method can precisely control the geometric profile of<br />

probe array. The application of this probe array hasagreat<br />

potential in the area of field emission display.<br />

ACKNOWLEDGMENT<br />

This work was supported by the National Science<br />

Council (series no. NSC98-2221-E-005-058-MY3) of<br />

Taiwan.<br />

REFERENCES<br />

179


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Crescent Shaped Alignment Marks Applicable to<br />

Self-alignment of Micro-parts with and without<br />

Positive and Negative Poles<br />

Shouhei Shiga 1 , Dong F. Wang 1 , Takao Ishida 2 , and Ryutaro Maeda 2<br />

1<br />

Micro Engineering & Micro Systems Laboratory, Ibaraki University (College of Eng.), Hitachi, Ibaraki 316-8511 Japan<br />

(Tel: +81-294-38-5024; Fax: +81-294-38-5047; E-mail: dfwang@mx.ibaraki.ac.jp)<br />

2 Ubiquitous MEMS and Micro Engineering Research Center (UMEMSME), AIST, Tsukuba, Ibaraki 305-8564, Japan<br />

Abstract<br />

A “crescent-shaped” binding alignment mark, more<br />

applicable to the self-alignment than reported<br />

“tear-drop/elliptical hole” pattern, has been designed and<br />

comparatively studied with other possible alignment marks. In<br />

order to further apply this novel design to micro-parts with<br />

positive and negative poles on the binding sites, a modified<br />

“crescent-shaped” pattern with an insulated space area, defined<br />

as “crescent-shaped/interval” for self-alignment of micro-parts<br />

with two poles has been therefore proposed and discussed. The<br />

fabrication process using micromachining has been studied and<br />

both the substrates and micro-parts with alignment marks have<br />

been fabricated for next self-alignment verification.<br />

Keywords- Self-alignment; Alignment mark; Crescent-shaped<br />

pattern; Surface energy, Overlap ratio; Crescent-shaped/interval<br />

pattern; Positive and negative poles<br />

I. INTRODUCTION<br />

The integration of micro-parts in alignment with an<br />

integrated circuit is a highly important task in assembly process.<br />

In any case, a uni-directional control is required since dies,<br />

packaging or optical elements, i.e. LED etc., must be positioned<br />

to the corresponding sites of the substrate with the correct<br />

angular orientation.<br />

In stead of complicated robotic manipulation or principled<br />

restriction in traditional lithography, fluidic self-assembly<br />

(FSA) is becoming an emerging technology for its high<br />

efficient in-parallel registration or three-dimensional automatic<br />

alignment. Current self-assembly techniques for micro-scale<br />

parts are based on two major mechanisms. One is<br />

capillary-driven self-assembly [1-2] and the other is<br />

shape-directed self-assembly.<br />

The size effect of square micro-parts on the capillary-driven<br />

interaction between the square micro-parts and the square<br />

binding sites was previously studied [3], and the interaction can<br />

be confirmed until 0.3 mm parts × 0.3 mm binding sites.<br />

A two-dimensional alignment mark of a tear-drop/elliptical<br />

hole with a tip angle of 60 o [4] was developed to increase the<br />

recovery angle and reduce the energy barrier to uni-directional<br />

micro-part alignment. The work reported that the standard<br />

deviation of the aligned angular orientation was 0.9 o and the<br />

lateral accuracy was 15 μm; the re-aligned assembly yield was<br />

100 %.<br />

In this study however, a two-dimensional asymmetric<br />

“crescent-shaped” alignment mark has been newly designed<br />

and a “crescent-shaped/interval” pattern has been further<br />

proposed to be applicable to micro-parts with two poles.<br />

Ⅱ. SELF-ALIGNMENT PRINCIPLE<br />

For the FSA process herein, self-alignment is caused by<br />

capillary force, which occurs between lubricant and SAM.<br />

When micro-parts are introduced onto adhesive droplets on<br />

binding sites (receptor sites), their hydrophobic faces (usually<br />

gold faces) can be attracted to the adhesive droplets. The<br />

Au-patterned side of each micro-part that is shown in Fig. 1 is<br />

hydrophobic and the other side is hydrophilic. In this case<br />

(a)<br />

(b)<br />

Si SAM Lubricant<br />

Fig. 1. Schematic illustrating self-alignment, (a): Parts move and rotate with an<br />

angle of θ for coincidence; (b):Using uni-directional Au pattern, part is<br />

self-aligned to the determined direction.<br />

180


however, a rotation for coincidence by self-alignment will<br />

occur as shown in Fig. 1(a), and the rotation has the potential to<br />

realize a uni-directional self-alignment if a special binding<br />

pattern is employed as shown in Fig. 1(b). This implies that<br />

two-dimensional asymmetric patterns are useful to aligning<br />

micro-parts to a determined direction on substrate.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

surface-energy model for the self-alignment of flat silicon parts<br />

[4] can thus be applied and the total interfacial energy E 1 before<br />

self-alignment can be approximated by Equation (1):<br />

E<br />

= γ S + P<br />

(1)<br />

1 Lub , Water<br />

γ<br />

SAM , Water<br />

Ⅲ. ANALYZING SELF-ALIGNMENT USING OVERLAP RATIO<br />

To accomplish a high alignment yield, a suitable binding<br />

pattern must be a global energy minimum (with maximum<br />

overlap), while other (local) energy minima (low energy<br />

barrier) corresponding to an unsuitable pattern design must be<br />

avoided.<br />

However, the overlap ratio, as defined in Fig. 2, which is the<br />

ratio of the overlap area to the total area of the binding site, is<br />

maximized in the fully aligned orientation, and gradually<br />

declines toward the energy barrier. The overlap ratio is<br />

therefore very useful to find out suitable patterns for<br />

self-alignment. In this case, two important parameters, the<br />

energy barrier and the angular span of the designed shape, must<br />

be kept as small as possible to ensure a highly efficient<br />

uni-directional alignment. Since direction-specific and high<br />

precision self-alignment depends on adhesion force, overlap<br />

ratio and correct pattern design predicted by the surface energy<br />

model, the aforementioned parameters should be carefully<br />

analyzed by simulation with the surface energy model.<br />

where γ Lub,Water is the interfacial energy between lubricant<br />

adhesive and water, γ SAM,Water is the interfacial energy between<br />

SAMs and water, S is the binding area on substrate, and P is<br />

the binding area on micro-part. Supposing S = P in present<br />

case, the total interfacial energy E 2 after self-alignment can then<br />

be described by the following Equation (2):<br />

E2<br />

= γ<br />

γ<br />

E<br />

Lub,<br />

Water<br />

Lub,<br />

Overlap<br />

SAMLub<br />

1<br />

( AS ) γ ( − )<br />

Overlap<br />

+−<br />

SAM , Water<br />

AP<br />

Overlap<br />

×+<br />

A<br />

(2)<br />

−−+=<br />

γγγ<br />

(<br />

, SAM Lub , Water SAM , Water<br />

) A Overlap<br />

where γ Lub,SAM is the interfacial energy between lubricant<br />

adhesive and SAMs, and A overlap is the overlap area as defined in<br />

Fig. 2. If using Δ E to express the interfacial energy change as<br />

the following Equation (3),<br />

Δ<br />

( γ Lub , SAM<br />

− γ Lub , Water<br />

− γ SAM Water<br />

) A Overlap<br />

E =<br />

,<br />

(3)<br />

the total interfacial energy E 2 after self-alignment can be written<br />

as<br />

= 12<br />

+ ΔEEE (4)<br />

When an adhesive droplet sits on a substrate surface with a<br />

contact angle α, Young’s equation gives the following<br />

relationship:<br />

Fig. 2. Schematic illustration of the overlap ratio, which is defined as the ratio<br />

of the overlap area to the total area of the binding site.<br />

A. Surface energy model<br />

The interfacial energy minimization gives rise to the<br />

attraction of the micro-parts to the binding sites. As shown in<br />

Fig. 3, if the thickness of the adhesive droplet is thin enough<br />

that two dimensional approximation is valid and the sidewall<br />

interfacial energy of the adhesive is negligible, the<br />

surface-energy model for the self-alignment of flat silicon<br />

γ Lub,Water<br />

α<br />

γ SAM,Water<br />

Lub<br />

γ Lub,SAM<br />

SAM<br />

Au<br />

Fig. 3. Schematic figure of the contact angle between the lubricant and the<br />

surface modified by SAMs in water.<br />

γ<br />

,<br />

γ cosα<br />

+ γ<br />

= (5)<br />

SAM Water Lub,<br />

Water<br />

Lub,<br />

SAM<br />

The above Equation (5) can thus be rewritten as<br />

γ<br />

[ cos( α ) 1]<br />

Lub , SAM<br />

− γ<br />

Lub,<br />

Water<br />

− γ<br />

SAM , Water<br />

−= γ<br />

Lub,<br />

Water<br />

+<br />

where ∀α<br />

∈ ( 0 , 180 )°° . Equation (6) means that ΔE is less<br />

than zero for any adhesive, micro-part surface and environment<br />

medium.<br />

B. Overlap ratio<br />

From the above Equations (3) and (6), it can be noted that<br />

the interfacial energy has a minimum value when the<br />

micro-part is exactly aligned with the binding site (receptor<br />

site). Since E 1 is a constant, theΔE thus appears to be linearly<br />

proportional to the overlap area A overlap as:<br />

[ cos( α ) ] A Overlap<br />

Lub , Water<br />

+<br />

(6)<br />

ΔE ∝ −γ 1<br />

(7)<br />

181


11-13 <br />

May 2011, Aix-en-Provence, France<br />

The above Equations indicate that E<br />

<br />

2 decreases in value<br />

1<br />

when A overlap increases in value. The decreasing of E 2 will make<br />

self-alignment advance towards a higher precision. The<br />

0.8<br />

self-alignment completes at the time that A overlap reaches the<br />

maximum and E 2 drops to the minimum.<br />

IV.<br />

NEWLY PROPOSED “CRESCENT-SHAPED” ALIGNMENT<br />

MARK FOR SELF-ALIGNMENT<br />

In order to accomplish a high alignment yield, a novel<br />

asymmetric alignment mark, so called “crescent-shaped ”<br />

pattern, as shown in Fig. 4, is originally designed based on the<br />

above surface-energy model, to increase the recovery angle and<br />

reduce the energy barrier to uni-directional micro-part<br />

alignment.<br />

The basic concept of the “crescent-shaped” pattern can be<br />

described using the following three terms:<br />

1. There is only one line to form a linear symmetry;<br />

2. The pattern consists of a curved shape;<br />

3. The shape is wide in the middle and pointed at each end.<br />

Overlap ratio<br />

0.6<br />

0.4<br />

0.2<br />

0<br />

Crescent-shaped<br />

Tear-drop<br />

Square<br />

0 30 60 90 120 150 180 210 240 270 300 330 360<br />

Offset angles(a)<br />

Fig. 5. Overlap ratio between a moving part and a binding site for comparison<br />

of three kinds of alignment patterns.<br />

1<br />

Wafer preparation<br />

2<br />

Cr/Au sputtering<br />

3<br />

Cr/Au etching<br />

Fig. 4. Schematic figure for detailed description of proposed crescent-shaped<br />

alignment mark, where the square means the surface of micro-part, and the<br />

crescent-shaped pattern is the binding mark for self-alignment.<br />

The overlap ratio of three kinds of alignment marks,<br />

including crescent-shaped, tear-drop/elliptical holes, and<br />

square, as a function of the offset angles has been simulated and<br />

comparatively shown in Fig. 5. It can be noted that both the<br />

proposed “ crescent-shaped ” pattern and the reported<br />

“tear-drop”pattern with elliptical holes have much lower span<br />

angles and energy barriers than the “square” pattern, and are<br />

therefore expected to have only one stable orientation<br />

compared to the “square” pattern of four maximum overlap<br />

ratios at four offset angles (0 o , 90 o , 180 o , and 270 o ). Since the<br />

energy barrier of the proposed “crescent-shaped” pattern is<br />

lower than that of the reported “tear-drop” pattern with<br />

elliptical holes, the “crescent-shaped” pattern is thus expected<br />

to be the most suitable one for self-alignment in this work.<br />

For fabricating both the substrates and micro-parts for<br />

self-alignment verification, a 300-μm-thick silicon wafer was<br />

used as a starting material.<br />

The one-mask etching process is typically shown in Fig. 6.<br />

Simply, the sputtered Cr/Au was patterned by lithography and<br />

was then wet etched to transfer the alignment mark patterns<br />

from the mask.<br />

Si Au Resist<br />

Fig. 6. Typical one-mask process chart for fabricating both substrates and<br />

micro-parts with alignment marks.<br />

Fig. 7 shows the three patterns designed for the present<br />

work (Figs. 7(a’), 7(b’), 7(c’)), and fabricated patterns after wet<br />

etching process (Figs. 7(a), 7(b), 7(c)) for comparison,<br />

respectively.<br />

(a)<br />

(a’)<br />

4<br />

Resist removing<br />

Fig.7. Typical binding site design for verification: (a’) crescent-shaped, (b’)<br />

tear-drop, (c’) square; Fabricated patterns after wet etching: (a) crescent-shaped,<br />

(b) tear-drop, (c) square.<br />

(b)<br />

(b’)<br />

(c)<br />

(c’)<br />

182


11-13 <br />

May 2011, Aix-en-Provence, France<br />

V.<br />

<br />

MODIFIED CRESCENT PATTERNS FOR DEVICES WITH<br />

POSITIVE AND NEGATIVE POLES<br />

A modified “crescent-shaped” alignment mark, called<br />

“crescent-shaped/interval” as shown in Fig. 8, has been further<br />

proposed. The basic idea is to separate the “crescent-shaped”<br />

pattern into two parts with an insulated space area between<br />

them, so as to correspond to positive and negative poles,<br />

respectively.<br />

In order to study the effect of interval a, as defined in Fig. 8,<br />

on the surface energy during self-alignment, the overlap ratio as<br />

a function of the offset angles has been calculated and<br />

compared for different interval a. It can be noted that the<br />

self-alignment of micro-parts with positive and negative poles<br />

could be achieved with a maximum misalignment angle of 30 o<br />

degree, when the interval is set as 1:26, as shown in Fig.9.<br />

(a)<br />

25 a<br />

(b)<br />

Fig. 10. Target substrate design (Fig. 10(a)) for integration of prototype<br />

micro-parts (Fig. 10(b)) with positive and negative poles.<br />

Fig. 8. A modified crescent-shaped alignment mark, called<br />

crescent-shaped/interval pattern, proposed for micro-parts with positive and<br />

negative poles.<br />

VI. CONCLUSIONS<br />

A newly designed two dimensional asymmetric alignment<br />

mark, so called as “crescent-shaped” pattern, has been derived<br />

as the most suitable one for self-alignment of the micro-parts to<br />

the binding sites of the substrate, using overlap ratio based on<br />

the surface energy model of a capillary effect. The energy<br />

barrier of the proposed “crescent-shaped” pattern is<br />

theoretically lower than that of the reported “tear-drop” pattern<br />

with elliptical holes. A modified “crescent-shaped” alignment<br />

mark, so called as “crescent-shaped/interval”, has been further<br />

proposed and designed to be applicable to micro-parts or<br />

devices with positive and negative poles on the binding sites.<br />

ACKNOWLEDGEMENT<br />

Part of this work was supported by MEMS Inter<br />

University Network and performed in the Ubiquitous MEMS &<br />

Micro Engineering Research Center (UMEMSME) of National<br />

Institute of Advanced Industrial Science & Technology (AIST).<br />

Fig. 9. Overlap ratio between a moving part and a binding site with a<br />

relation to different interval a in crescent-shaped/interval pattern.<br />

A target substrate with special binding sites with<br />

“crescent-shaped/interval” alignment mark for integration of<br />

micro-parts with poles, where a uni-directional self-alignment<br />

is necessary, has been designed for further practicable study, as<br />

shown in Fig. 10. Firstly, both the Au surface on substrate and<br />

the backside of micro-parts are patterned with proposed marks.<br />

Secondly, electrodes are connected to Au wire on the substrate<br />

after self-alignment. Finally, the integration is demonstrated to<br />

examine the feasibility of uni-directional self-alignment.<br />

REFERENCES<br />

[1] A. Terfort, N. Bowden, and G.M. Whitessides, Three-dimensional<br />

self-assembly of milllimeter-scale components, Nature, 386 (1997)<br />

162-164.<br />

[2] U. Srinivasan, D. Liepmann, and R.T. Howe, Microstructure to<br />

substrate self-assembly using capillary forces, J. Microelectromech.<br />

Syst., 10 (2001) 17-24.<br />

[3] D. F. Wang, and S. Shiga, Fabrication ofmicro and nanostructures<br />

using self-assembly, Proceedings of Ibaraki District Conference<br />

2009, pp.127-128, JSME, Tsukuba, Japan.<br />

[4] C. Lin, F. Tseng, and C. Chieng, Orientation-specific fluidic<br />

self-assembly process based on a capillary effect, J. Micromech.<br />

Microeng., 19 (2009) 1-12.<br />

[5] K.F. Bohringer, U. Srinivasan, and R.T. Howe, Modeling of<br />

capillary forces and binding sites for fluidic self-assembly, Proc.<br />

IEEE workshop on Micro electro Mechanical<br />

183


11-13 <br />

May, Aix-en-Provence, France<br />

<br />

Simulation of 3D SOI-Structures for MEMS elements<br />

Igor KOGUT, Victor HOLOTA, Victor DOVHIJ (Precarpatian U., Ivano-Frankivsk, Ukraine)<br />

Anatoliy DRUZHININ (National U. "Lvivska Politechnika", Lviv, Ukraine)<br />

Text unavailable at the time of printing.<br />

184


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Studies of optical and crystal properties of ALD<br />

grown ZnO<br />

David Elam 1 , Anastasiia Nemashkalo 2 , Yuri Strzhemechny 2 , Chonglin Chen 1 , Arturo Ayon 1 , Andrey Chabanov 1<br />

1 Department of Physics and Astronomy, University of Texas San Antonio, One UTSA Circle, San Antonio, TX 78249<br />

2 Department of Physics and Astronomy, Texas Christian University, TCU Box 298840 Fort Worth, TX 76129<br />

Abstract- In this paper, we report structural and<br />

photoluminescence properties of ZnO thin films grown<br />

on single crystal ZnO substrates by means of Atomic<br />

Layer Deposition (ALD).<br />

I. INTRODUCTION<br />

In the last decade, zinc oxide has become a popular<br />

subject of research exhibiting a number of useful properties.<br />

For example, as a direct band gap semiconductor with a<br />

band gap in the UV at ~3.3eV, zinc oxide has applications<br />

in UV laser diodes, although large defect densities have<br />

been reported as an obstacle [1]. Doping zinc oxide with<br />

transition metals causes zinc oxide thin films to exhibit<br />

weak ferromagnetic behavior, a property which may have<br />

interesting applications in electronics [2]. Zinc oxide is also<br />

a piezoelectric material with potential applications in<br />

MEMS devices, sensing, and power generation [3].<br />

As a semiconductor, zinc oxide has seen only limited use<br />

due to its tendency to dope itself n-type. Resistivities < 1<br />

Ohm-cm have been observed in undoped films [4]. This<br />

has resulted in difficulties in reliably doping zinc oxide<br />

films p-type. Such an attempt may simply result in an<br />

insulating material. This situation has been improved<br />

recently with more mature doping techniques [5].<br />

Although, the underlying cause of this natural doping has<br />

been the subject of debate, it is thought to originate on<br />

oxygen vacancies and zinc interstitials. These defects have<br />

been demonstrated to act as electron donors. A competing<br />

candidate, however, is hydrogen impurities [6-7]. The exact<br />

cause of this doping behavior may very well be a<br />

combination of the two, and may depend on the deposition<br />

method. Hydrogen impurities may be particularly relevant<br />

in metal organic deposition techniques such as MOCVD<br />

and ALD. Understanding the defect structure of these films<br />

may help to understand the mechanical and electrical<br />

properties of the thin film.<br />

In this paper, we report structural and optical properties<br />

of ZnO thin films grown on single crystal ZnO substrates by<br />

means of Atomic Layer Deposition (ALD). Our x-ray<br />

diffraction data and photoluminescence measurements<br />

indicate a good crystallinity and low concentration of lattice<br />

defects of the ZnO films, which depend on the deposition<br />

temperature.<br />

II. RESULTS AND DISCUSSION<br />

ZnO films were grown at 120 C and 200 C using<br />

diethylzinc and water as precursors. The films were grown<br />

on oxygen-terminated single crystal ZnO substrates to a<br />

thickness of 100nm. The X-ray diffraction data were<br />

obtained using a Rigaku Ultima IV XRD. The XRD<br />

measurements show that the films are polycrystalline with a<br />

strong preferred c-axis orientation.<br />

Fig. 1. 2θ/ω scan of the (002) diffraction peak of the ZnO films, as<br />

compared to the substrate.<br />

TABLE I<br />

XRD data of the ZnO films on ZnO substrate.<br />

Sample 2-theta c lattice constant FWHM<br />

(002)<br />

Substrate 34.4532 5.20194 0.0505<br />

120C 34.4461 5.20298 0.0480<br />

200C 34.4527 5.20202 0.0496<br />

(001)<br />

120C 17.0333 5.2012 0.0372<br />

200C 17.0477 5.1968 0.0479<br />

The (002) peak, shown in Fig.1, is essentially due to the<br />

substrate, since the signal from the film and substrate<br />

overlap at the (002) peak. The second peak in the (002)<br />

diffraction pattern is from the Cu Kα 2 wavelength. On the<br />

other hand, the (001) peak, shown in Fig 2, is due to the<br />

film, as it cannot be seen in hexagonal zinc oxide. The (001)<br />

peak is due to point defects, such as oxygen vacancies,<br />

which produce deformations of the ZnO lattice. The (001)<br />

peaks in the films grown at 120 and 200 C have different<br />

shapes and are slightly shifted. The c lattice constant of the<br />

185


ZnO films were determined from the (001) peak positions<br />

(Table 1). The shift in the peak positions of the films grown<br />

at 120 and 200 C corresponds to 0.2% difference in the c<br />

lattice constant.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

of the second lower-energy luminescent component usually<br />

associated with the Zn interstitial defects.<br />

To further elucidate the nature of these differences we<br />

intend to further analyze the temperature dependences of the<br />

relative intensities, spectral positions and widths of the<br />

excitonic luminescent features in the PL spectra of the<br />

studied specimens.<br />

Fig. 2. 2θ/ω scan of the (001) diffraction peak of the ZnO films grown at 120<br />

and 200 C.<br />

Our photoluminescence (PL) and surface photovoltage<br />

(SPV) spectroscopy results also indicated that the obtained<br />

thin films are of high quality. In particular we observed that,<br />

on the one hand, “deep” defect signatures in the PL and<br />

SPV spectra have low relative intensity. On the other hand<br />

the excitonic peaks in the near-bang gap range of the lowtemperature<br />

PL spectra are intense and narrow whereas the<br />

super-band gap SPV transitions are sharp and well-defined.<br />

In comparison, for ZnO thin films vapor phase-deposited on<br />

single-crystalline ZnO substrates [8] the reported excitonic<br />

lines were order of magnitude broader in the lowtemperature<br />

spectra. Also, the XRD results for those films<br />

showed a strong mosaicity which limited the structural<br />

quality of their film.<br />

Nonetheless, the thin films grown at different<br />

temperatures exhibited discrepancies in their optical and<br />

structural characteristics. For example, the relative<br />

intensity of the ~ 3.33 eV luminescence peak in the 10 K PL<br />

spectra, commonly attributed to extended structural defects<br />

[9], was significantly greater for the sample grown at 120°C<br />

(cf. Fig. 3A). Moreover, the deep level (visible)<br />

luminescence in the room temperature PL spectra is also<br />

different. For the same sample grown at 120°C the broad<br />

emission exhibits a substantial red shift (cf. Fig. 3B). The ~<br />

2.4 eV emission is most often attributed to oxygen<br />

deficiency whereas the observed shift may indicate presence<br />

Fig. 3. Photoluminescence at 10K (A) and 293K (B) of the ZnO films grown<br />

at 120 and 200 C.<br />

ACKNOWLEGDEMENT<br />

This research was supported by the US Army Research<br />

Grant No. 54484-RT-ISP and National Science Foundation<br />

Grant No. DMR-0934218.<br />

REFERENCES<br />

[1] T. P. Rao et al. Jallcom 485 (2009)<br />

[2] F. Pan et al. Materials Science and Engineering R 62 (2008) 1-<br />

35<br />

[3] Sheng Xu, et al. Nature Nanotechnology 5 (2010) 366<br />

[4] C. Jagadish and S. Pearton (Ed.) “Zinc Oxide Bulk, Thin Films<br />

and Nanostructures”, Elsevier Limited (2006)<br />

[5] Eun-Cheol Lee, K. J. Chang Physica B 376-377 (2006) 707-<br />

710<br />

[6] F. Sun et al. Applied Surface Science 256 (2010) 3390-3393<br />

[7] Y. J. Lin et. al J. Appl. Phys. 99 (2006)<br />

[8] A. Zeuner, H. Alves, D. M. Hofmann, and B. K. Meyer, M.<br />

Heuken, Bläsing, and A. Krost, Appl. Phys. Lett., 80, 2078<br />

(2002).<br />

[9] B. K. Meyer, H. Alves, D. M. Hofmann, W. Kriegseis, D.<br />

Forster, F. Bertram, J. Christen, A. Hoffmann, M. Straßburg, M.<br />

Dworzak, U. Haboeck, and A. V. Rodina, Phys. Stat. Sol. (b)<br />

241, 231 (2004).<br />

186


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A Methodology for the Pull-in Voltage of Clamped<br />

Diaphragms<br />

Joseph Lardiès, Marc Berthillier<br />

Institute FEMTO-ST; DMA; UMR CNRS 6174<br />

Rue de l’Epitaphe<br />

25000 Besançon, FRANCE<br />

Abstract- Due to the electrostatic excitation principle,<br />

MEMS based capacitive microphones exhibit a nonlinear<br />

behaviour and this communication investigates these<br />

nonlinearities with the aim to optimize the input voltage signal.<br />

The nonlinear electrostatic force due to the bias voltage is<br />

combined with the 2D load-deflection model of a square or<br />

circular diaphragm to evaluate the pull-in voltage. An<br />

analytical solution is derived to calculate the electrostatic<br />

pressure, the pull-in voltage and the deflection profile of the<br />

diaphragm. Numerical results with clamped square and<br />

circular diaphragms are presented showing the effectiveness of<br />

the method.<br />

I. INTRODUCTION<br />

MEMS-based capacitive microphones offer advantages<br />

due to their small size, high sensibility, batch fabrication<br />

capability and low power consumption. A MEMS<br />

capacitive-type microphone is basically an electrostatic<br />

transducer converting electrical energy into mechanical<br />

energy and vice-versa. A good design requires a large<br />

displacement from the bias voltage for efficient energy<br />

coupling between the movable microplate or diaphragm and<br />

the air. The microplate can also be deflected by ambient<br />

pressure if the cavity beneath the microplate is vacuum<br />

sealed, which is necessary for immersion applications.<br />

However, optimum energy coupling is achieved when the<br />

plate is near the structural instability known as pull-in,<br />

where the largest stable plate deflection occurs. Beyond this<br />

point, the movable plate snaps onto the fixed plate (or<br />

substrate). Many resonance applications demand better<br />

understanding of MEMS behaviors, especially near the pullin<br />

instability. Finite element method (FEM) simulations and<br />

analytical plate or membrane models have been used to<br />

analyze resonating microstructures effects. However, most<br />

FEM simulations are computationally inefficient or<br />

breakdown near pull-in of electrostatically actuated<br />

structures, and membrane model ignore plate bending,<br />

which is needed for bending dominate microstructures.<br />

The central component in micro-electro-mechanical<br />

systems is the mechanical resonator which constitutes a<br />

capacitive transducer and is formed with two plates: a fixed<br />

plate and a movable plate. Due to the electrostatically force,<br />

when the gap between the two plates becomes two thirds of<br />

the initial gap, the movable plate is not stable, we have a<br />

’’push-down’’ phenomenon and the MEMS fails. From the<br />

dynamics point of view, the system loses its stability and<br />

the gap being equal to two-thirds of the initial gap is termed<br />

the minimum gap in MEMS [1].<br />

The electrostatic force associated with the voltage is non<br />

linear due to its inverse square relationship with the airgap<br />

thickness between the capacitor electrodes. This gives rise<br />

to the pull-in phenomenon that causes the movable structure<br />

(membrane) to collapse if the bias voltage exceeds the pullin<br />

limit and limits the effective range of deformation of the<br />

structure. Accurate determination of the pull-in voltage, or<br />

the collapse voltage, is critical in the design process to<br />

determine the sensitivity, harmonic distortion and the<br />

dynamic range of a MEMS-based capacitive transducer. In<br />

[2] a method is provided to approximate pull-in voltage for<br />

cantilevers, fixed-fixed beams and circular diaphragms<br />

under electrostatic actuation in which the pull-in voltage<br />

depends on the undeformed gap and on the linear elastic<br />

response to an applied uniform load. In this communication<br />

an analytical solution is described to calculate the pull-in<br />

voltage and diaphragm deflection under electrostatic<br />

actuation, for square and circular diaphragms. The method<br />

incorporates both the nonlinearities of the electrostatic force<br />

and the large deflection model for a clamped square or<br />

circular diaphragm. The developed analytical method<br />

allows for a fast, more accurate determination of the<br />

developed electrostatic pressure, maximum diaphragm<br />

deflection for different bias voltage and the pull-in voltage.<br />

The method can easily be extended to the cases of<br />

cantilevers and fixed-fixed beams.<br />

II.<br />

MODEL DEVELOPMENT<br />

A. Parallel-Plate Approximation<br />

A parallel plate approximation is first considered to<br />

highlight the major aspects of the analysis. A schematic cross<br />

section of a MEMS capacitive-type microphone is shown in<br />

Fig. 1. An external voltage V is applied between the upper and<br />

lower conductors, which causes the upper conductor to<br />

electrostatically deflect downwards. Deflection increases with<br />

voltage until pull-in is reached. A static displacement of the<br />

diaphragm of a capacitive cell due to the bias voltage is shown<br />

187


this figure.<br />

Fig. 1. Cross-section of a MEMS-based capacitive sensor.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

2<br />

xd<br />

M + Kx = Fe (x) =<br />

2<br />

td<br />

ε<br />

0<br />

0<br />

2<br />

VA<br />

(1)<br />

2<br />

− )xd( 2<br />

The mechanical elastic force is F m (x) = Kx and ε 0<br />

is the<br />

permittivity of the free space. At the static equilibrium the<br />

mechanical elastic force equals the electrostatic attraction<br />

force and the relationship between the voltage V and<br />

displacement of the movable plate is :<br />

We introduce a simplified one-dimensional (1-D) pull-in<br />

model in which the pull-in voltage depends on the<br />

undeformed gap and on the linear elastic response to an<br />

applied uniform load. While not numerically accurate, this<br />

model has the virtue of providing a functional form, which,<br />

for many structures, can be approximated analytically by<br />

solving a suitable linear equation. Fig.2 shows a lumped 1-<br />

D pull-in model, which provides some guidance in how the<br />

functional form is developed. The problem is approximated<br />

by a rigid body suspended by a lumped linear spring with<br />

spring constant K.<br />

V=(d 0 – x) ε(/xK A) 2 (2)<br />

The maximum of the voltage is obtained for dV/dx=0 and<br />

from this equation we obtain the distance where the pull-in<br />

occurs: x pi = d 0 /3 and the pull-in gap is d pi = 2d 0 /3. The pull-in<br />

voltage for this ideal parallel plate structure is then :<br />

3<br />

0<br />

0<br />

V pi = ε2 A) 7(/dK8<br />

(3)<br />

and the spring constant of the movable plate is given by:<br />

0<br />

3<br />

K = 27 ε 0<br />

AV pi / (8 d 3 0 ) (4)<br />

Fig. 2. A simplified mechanical model for a parallel-plate capacitor.<br />

As shown in Fig. 2 the fixed plate of the capacitor with<br />

area A is connected with a constant supply voltage V. The<br />

other plate of the capacitor with mass M and area A is movable<br />

and rigid. The support of the moving plate is modeled through<br />

an equivalent spring with stiffness K. Without any electrostatic<br />

force, the gap between two plates of the capacitor in the<br />

MEMS is d 0 : it is the initial air gap. The coordinate x is shown<br />

in Fig. 2 and the origin is at equilibrium without any voltage.<br />

By applying a voltage across the plates, an electrostatic<br />

attractive force F e (x) is induced which leads to a decrease of<br />

the gap spacing, thereby stretching the spring. This results in<br />

an increase of the mechanical elastic force (or spring force)<br />

F m (x) which counteracts the electrostatic force. Pull-in<br />

instability occurs as a result of the fact that the electrostatic<br />

force increases non-linearly with decreasing gap spacing,<br />

whereas the mechanical elastic force is a linear function of the<br />

change in the gap spacing. In simple terms, the pull-in voltage<br />

can be defined as the voltage at which the restoring spring<br />

force can no longer balance the attractive electrostatic force.<br />

Our purpose is to determine this pull-in voltage.<br />

B. First Order Analysis<br />

Neglecting any damping within the system, the equation of<br />

motion of the movable plate due to an electrostatic attraction<br />

force F e (x) caused by a constant supply voltage V is:<br />

If the applied voltage is increased beyond the pull-in<br />

voltage, the resulting electrostatic force will overcome the<br />

elastic restoring force and will cause the movable plate to<br />

collapse on the fixed plate and the capacitor will be short<br />

circuited.<br />

To obtain stiffness due to the electrostatic force we expand<br />

(1) using a Taylor series approximation about the nominal<br />

distance x 0 :<br />

2<br />

2<br />

xd ⎛<br />

M + ε<br />

2<br />

td<br />

⎟ ⎞<br />

0<br />

VA<br />

⎜ K − x =<br />

3<br />

⎝ −<br />

00<br />

)x( ⎠<br />

d<br />

1<br />

2<br />

ε<br />

0<br />

2<br />

⎡<br />

− 1n<br />

VA x2 N<br />

⎤<br />

0<br />

−<br />

0<br />

)xx(n<br />

⎢1<br />

− ∑<br />

−<br />

⎥ (5)<br />

2<br />

⎢ − 3n<br />

⎣<br />

−<br />

1n<br />

00<br />

)xd(<br />

00<br />

)xd(<br />

00<br />

)xd(<br />

⎥⎦<br />

− =<br />

The electrostatic attraction force effectively modifies the<br />

spring constant K of the movable plate and the effective spring<br />

constant at a specified voltage V is :<br />

2<br />

⎛ ε ⎞<br />

K effective =<br />

0<br />

VA<br />

⎜ K − ⎟<br />

(6)<br />

3<br />

⎝ −<br />

00<br />

)x( ⎠<br />

d<br />

The amount of modification is termed as spring softening<br />

and the resonant frequency of the structure is shifted from<br />

ω res<br />

= M/K to ωres = M . /K<br />

effective<br />

The simple parallel-plate approximation method assumes<br />

that the beam has a linear spring constant, considers a piston<br />

like motion, and predicts that the pull-in when the highest<br />

deformation exceeds one-third of the gap. This analysis<br />

neglects the effects of the fringing field capacitances and<br />

188


excludes the nature of the fixed boundary conditions, nonuniformity<br />

of the electrostatic pressure, effects of the residual<br />

stress, and the developed nonlinear distribution due to the<br />

stretching of the beam. For wide beams with small airgaps,<br />

errors up to 20% have been reported in literature due to such<br />

approximations [2]. In the next section we analyze the case of<br />

a rigidly clamped square diaphragm separated from a rigid<br />

backplate by a small airgap.<br />

III.<br />

SQUARE DIAPHRAGM ANALYSIS<br />

A. Load-deflection characteristics of a square diaphragm<br />

The load-deflection analysis has been developed for the<br />

measurement of the mechanical properties of thin films [3-5],<br />

and the deflection is measured as a function of applied<br />

pressure as shown in Fig. 3. In [3] and [4] the biaxial modulus<br />

and the residual stress of the film are extracted from the data<br />

using various mathematical models.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

e σ<br />

Ee 3<br />

P(w 0 ) = C 1 w + C<br />

2<br />

a<br />

0 2 ( ν ) w (7)<br />

( − ν ) 1a<br />

024<br />

where P is the applied uniform pressure, w 0 the deflection of<br />

the diaphragm midpoint, e the diaphragm thickness, a half of<br />

the diaphragm side length, E the Young’s modulus, ν the<br />

Poisson’s ratio and σ the residual or internal stress. The<br />

dimensionless constants C 1 and C 2 are numerical parameters<br />

which are obtained from the results of Maier-Schneider et al.<br />

[5] :<br />

C 1 = 3,45 and C 2 ( ν ) = 1,994(1-0,271 ν )/(1- ν ) (8)<br />

If the midpoint deflection w 0 is known, the deflection of<br />

the diaphragm from mid-side to mid-side can be calculated<br />

using [6-8] :<br />

w(y,0)=w 0 (1+0,401(y/a)) 2 cos(πy/2a) (9)<br />

Fig. 3. Deflection of a square diaphragm in response to an applied pressure.<br />

Due to the presence of residual stress and a significantly<br />

large deflection of the diaphragm compared to its thickness,<br />

the developed strain energy in the middle of the diaphragm<br />

causes a stretch of the diaphragm middle surface. The<br />

deflection of the diaphragm middle surface corresponds to a<br />

nonlinear behavior of a rigidly clamped diaphragm and is<br />

known as spring hardening. Thus, the analytical solution for<br />

diaphragm deflection from electrostatic forces must account<br />

for this spring hardening effect in addition to the nonlinear and<br />

non uniform electrostatic forces. Tabata et al. [3] developed an<br />

analytical solution for the load-deflection of membranes. They<br />

found a relationship between the external pressure load and<br />

the membrane deflection to determine the residual stress and<br />

Young’s modulus of thin films. Pan et al. [4] compared the<br />

analytical solution with FEM analysis. They found that the<br />

functional form of the analytical results is correct, but some<br />

constants have to be corrected. Pan et al. also found that the<br />

analytical forms of the membrane’s bending lines do not<br />

describe the real behavior very accurately. Maier-Schneider et<br />

al. [5] found an analytical solution for the load-deflection<br />

behavior of a membrane by minimization of the total potential<br />

energy. A new functional form of the membrane’s bending<br />

shape was found which agrees well with experimental<br />

measurements and with FEM analysis.<br />

Following the large deflection model, for a rigidly<br />

clamped square diaphragm with built-in residual stress, the<br />

load-deflection relationship of the midpoint of the diaphragm<br />

under a uniform pressure P can be expressed as [4-7] :<br />

The 2-D distributed problem is approximated by a rigid<br />

body suspended by a lumped spring. The spring constant has<br />

units of N/m and is defined as F elastic /w Max where w Max is the<br />

maximum displacement of the diaphragm with no<br />

electrostatic load, but with a uniform distributed pressure<br />

load P. In our case we have: w Max =w 0 , P=P(w 0 ) and<br />

F elastic =P(w 0 )A, so the nonlinear spring constant of the square<br />

diaphragm is :<br />

P(w0<br />

) A e σ<br />

Ee 2<br />

K nl = = (C 1 + C 2 ( ν ) w ) A (10)<br />

2<br />

w0<br />

a<br />

( − ν ) 1a<br />

The deflection-dependent nonlinearity due to spring<br />

hardening appears in equation (11) where the square of the<br />

midpoint deflection variable w 0 has been obtained. For a test<br />

device we consider the parameters given in table 1.<br />

TABLE I<br />

MODEL PARAMETERS<br />

parameter e a d 0 E ν σ<br />

024<br />

value 0,8 1,2 3,5 169 0,28 20<br />

unity μm μm μm GPa - MPa<br />

Spring hardening resulting from the deflection of a<br />

clamped square diaphragm midpoint due to an applied uniform<br />

pressure is plotted in Fig.4. From this figure we can obtain the<br />

value of the non-linear spring.<br />

Spring constant (N/m)<br />

260<br />

255<br />

250<br />

245<br />

240<br />

235<br />

230<br />

225<br />

220<br />

0 0.5 1 1.5 2 2.5 3<br />

Diaphragm deflexion (m)<br />

x 10 -6<br />

Fig. 4. Spring constant and diaphragm deflection<br />

189


11-13 <br />

May 2011, Aix-en-Provence, France<br />

B. Pull-in voltage evaluation of a square diaphragm<br />

<br />

The analysis carried out in section 2 for a parallel plate<br />

capacitor structure can be extended to the case of a fully<br />

clamped square diaphragm separated from a rigid backplate by<br />

a small airgap. The deflection of the diaphragm is due to the<br />

resultant effect of electrostatic and restoring elastic forces (air<br />

damping force is neglected). For a parallel plate configuration<br />

(Fig. 2) the non linear electrostatic force is always uniform.<br />

However, for a rigidly clamped diaphragm, the electrostatic<br />

force becomes non-uniform due to a hemispherical<br />

deformation profile of the diaphragm. Thus, to evaluate the<br />

deflection of a rigidly clamped diaphragm under an<br />

electrostatic force, it is necessary to obtain a uniform linear<br />

model of the electrostatic force that can be applied in loaddeflection<br />

equation (7). A uniform linearized model of the<br />

electrostatic force can be obtained from (5) by linearizing the<br />

electrostatic force about the zero deflection point x 0 = 0. The<br />

linearized electrostatic force is :<br />

⎛<br />

2 1 x<br />

F elect. = ε<br />

0<br />

V<br />

⎜<br />

A (11)<br />

2<br />

2d d<br />

⎝<br />

0<br />

+ 3<br />

0<br />

and the effective linearized uniform electrostatic pressure on<br />

the diaphragm is :<br />

P elect. =<br />

F<br />

elect.<br />

= A<br />

⎛<br />

⎜<br />

⎝<br />

⎟ ⎞<br />

⎠<br />

+<br />

2 3<br />

0<br />

d0<br />

⎟ ⎞<br />

⎠<br />

2 1 x<br />

ε<br />

0<br />

V<br />

(12)<br />

2d<br />

This equation is general and can be applied to any sort of<br />

diaphragms. We deduce the pull-in electrostatic pressure by<br />

replacing x by the pull-in deflection d 0 /3:<br />

P PI-elect. =<br />

5 ε V<br />

2<br />

P I0<br />

2<br />

0<br />

d6<br />

(13)<br />

where V PI represents the desired pull-in voltage. The applied<br />

uniform transverse pressure load of the rigidly clamped square<br />

diaphragm built in residual stress, obtained from elastic<br />

considerations (equation 7) equals the effective linearized<br />

uniform electrostatic pressure (equation 13) and at the distance<br />

where the pull-in occurs we obtain :<br />

e σ d<br />

C<br />

0 1<br />

2<br />

a 3<br />

+ C 2 ( ν )<br />

⎛<br />

0<br />

24⎟ ⎟ ⎞<br />

⎠<br />

Ee d 5 ε V<br />

2<br />

⎜ =<br />

3<br />

2<br />

( − ν ) 1a<br />

d6<br />

⎝<br />

3<br />

0<br />

P I0<br />

(14)<br />

The above equation is solved and we obtain the expression<br />

for the pull-in voltage for a clamped square diaphragm under<br />

an electrostatic pressure:<br />

V PI =<br />

d<br />

0<br />

a<br />

6<br />

5 ε0<br />

⎡<br />

⎢<br />

⎢<br />

⎢⎣<br />

1<br />

3<br />

d<br />

⎤<br />

C ⎛ d ⎞<br />

20<br />

ν Ee)(<br />

⎜<br />

σeC +<br />

⎟ ⎥<br />

(15)<br />

3<br />

3<br />

⎥<br />

( − ν ) 1a ⎝ ⎠ ⎥⎦<br />

22<br />

The pull-in voltage can be calculated using equation (15).<br />

With the parameters given in table 1 we obtain a value of<br />

17.45 volts. If we use equation (3), which corresponds to the<br />

ideal case of two parallel plates, we obtain V pi = 15.02 volts, a<br />

value which is 2.43 volts smaller than the value obtained with<br />

the method proposed in this communication. The mid-side to<br />

mid-side deflection profiles of the clamped diaphragm for<br />

different voltages is shown in Fig.5.<br />

Deflection (meter)<br />

10-6 -0.2<br />

-0.4<br />

-0.6<br />

-0.8<br />

-1<br />

10 Volts<br />

15 Volts<br />

17,45 Volts<br />

-1.2<br />

-6 -4 -2 0 2 4 6<br />

0 x Diaphragm side length (meter)<br />

x 10 -4<br />

Fig. 5. Diaphragm deflection for different bias voltage<br />

IV.<br />

CIRCULAR DIAPHRAGM ANALYSIS<br />

A. Load-deflection characteristics of a circular diaphragm<br />

Consider a circular plate of radius a and constant<br />

thickness e under a uniform transverse load p z = p 0 and an<br />

initial tension load N r = N 0 , as shown in Fig.6.<br />

Fig.6. Schematic of a clamped circular plate under an<br />

initial in-plane stress N 0<br />

Based on von Karman plate theory [6] for large circular<br />

plate deflections, the equilibrium equations for the<br />

symmetrical bending of this plate are :<br />

( r N ,r ) ,r - N θ =0 (16)<br />

( r Q r ) ,r + (r N r w ,r ) ,r + r p 0 = 0 (17)<br />

r Q r = (r M r ) ,r -<br />

M θ<br />

(18)<br />

where ( ), r indicates the differentiation with respect to the<br />

radial coordinate r, w is the normal displacement or the<br />

deflection of the plate in the z-direction, N r , N<br />

θ are the lateral<br />

loads, Q r is the shear force, M r and M θ are the bending<br />

moments. The shear force can be obtained by integrating (17)<br />

Q r + N r w ,r +<br />

1<br />

p0 r = 0 (19)<br />

2<br />

190


Using the radial and tangential midplane strains and<br />

curvatures [6] the second version of the shear force is :<br />

Q r = - D ( w ,rrr +<br />

r<br />

1<br />

w,rr -<br />

2<br />

r<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

1<br />

w, r ) (20)<br />

where D=Ee 3 /12(1- ν 2 ) is the flexural rigidity of the plate.<br />

Placing (20) into (19) produces:<br />

membrane behavior is revealed due to the nonlinearity of<br />

W(0)/P. In this Fig.7, there appears to be a transition from pure<br />

plate behavior to pure membrane behavior in the region from<br />

k ≈ 1 to k ≈ 20. For k20 a membrane behavior dominates the majority of the<br />

clamped circular plate.<br />

plate behavior<br />

w ,rrr +<br />

r<br />

1<br />

w,rr -<br />

1<br />

w, r -<br />

2<br />

r<br />

N r w,r =<br />

D<br />

p r<br />

0<br />

(21)<br />

D2<br />

W(0)/P<br />

10 0 k<br />

10 -1<br />

10 -2<br />

membrane<br />

behavior<br />

The analytical solution of (21) is given by [9] :<br />

10 -3<br />

6Pe(1 - ν<br />

w(r) =<br />

2<br />

k<br />

2<br />

)<br />

[<br />

( I ( kr/a ) - I (k) )<br />

0<br />

0 −<br />

+ ] (22)<br />

Ik (k)<br />

a2<br />

where I 0 ( ) and I 1 ( ) are the modified Bessel functions of the<br />

first kind [6], P is the nondimensional loading parameter and<br />

k is the nondimensional tension parameter. These two<br />

parameters are defined as:<br />

1<br />

10 -4<br />

10 -1 10 0 10 1 10 2<br />

22<br />

ra Fig.7 Center deflection normalized by the loading parameter as a function of<br />

2<br />

the tension parameter<br />

In order to have a thorough insight to the effects of initial<br />

tension upon the related geometrical responses, normalized<br />

deflection shapes are plotted in Fig.8. As the tension<br />

parameter k increases a sharp change in the curvature near the<br />

edge appears, in order to accommodate the zero slope<br />

boundary condition.<br />

P =<br />

0<br />

4<br />

ap<br />

; k = a<br />

4<br />

eE<br />

N 0<br />

=<br />

D<br />

a<br />

e<br />

2<br />

N012 (1 − ν<br />

e E<br />

)<br />

(23)<br />

Two limiting cases are of interest here: the pure plate case<br />

where the tension parameter has the value k=0 and the pure<br />

membrane case where k ∞→ . For the pure plate case, taking<br />

the small argument limit of the modified Bessel functions, the<br />

corresponding deflection is:<br />

W/W(o)<br />

1<br />

0.9<br />

0.8<br />

0.7<br />

0.6<br />

0.5<br />

0.4<br />

0.3<br />

0.2<br />

pure membrane<br />

0.1<br />

k=10<br />

pure plate<br />

0<br />

-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1<br />

r/a<br />

w(r) =<br />

16<br />

3 e P (1- ν 2 ) (1-<br />

r 2 )()<br />

2<br />

a<br />

(24)<br />

For the pure membrane case, taking the large argument<br />

limit of the modified Bessel functions, the pure membrane<br />

deflection is:<br />

e3 P (1- ν 2 r 2<br />

) ( 1- )() (25)<br />

w(r )=<br />

2<br />

k<br />

In Fig.7 the center deflection of the clamped circular plate,<br />

normalized by the transverse load, is plotted against the initial<br />

nondimensional tension parameter k. The effect of initial inplane<br />

tension (or the lateral loads effect) is shown in this<br />

figure where two asymptotes are present. The horizontal part<br />

of the curve means the center deflection is in a linear<br />

proportion to the applied transverse load (W(0) ∝ P , see also<br />

(24)) and is not a function of the initial tension, thus it reflects<br />

a plate behavior. The inclined straight line indicates a<br />

nonlinear variation of the center deflection with the tension<br />

parameter k (W(0)/P ∝ 1/k 2 , see also (36)), hence, a<br />

a<br />

Fig. 8. Normalized deflection as a function of normalized radial distance<br />

B. Pull-in voltage evaluation of a circular diaphragm<br />

From equation (22) we deduce the uniform transverse<br />

pressure which is applied at the center of the clamped circular<br />

plate:<br />

p 0 =<br />

D2 2 1<br />

k w(0) ⎡1<br />

I (k) ⎤<br />

−<br />

0<br />

⎢ − ⎥<br />

2 k I (k)<br />

4<br />

a<br />

⎢⎣<br />

1<br />

⎥⎦<br />

(26)<br />

As shown in paragraph II, we assume that the pull-in effect<br />

occurs when the deflection of the movable plate is one-third of<br />

the original air gap d 0 and the uniform pressure load given by<br />

(26) is equal to the pull-in electrostatic pression given by (13).<br />

We obtain:<br />

⎛<br />

⎜<br />

⎝<br />

2<br />

kD2 d 0<br />

4<br />

a 3<br />

⎞ ⎡<br />

⎟<br />

⎢<br />

⎠ ⎢⎣<br />

1<br />

I (k)<br />

0<br />

−<br />

2 k I (k)<br />

1<br />

⎤<br />

⎥<br />

⎥⎦<br />

− 1<br />

2<br />

5 ε0<br />

V<br />

PI<br />

=<br />

2<br />

d6 0<br />

(27)<br />

191


11-13 <br />

May 2011, Aix-en-Provence, France<br />

The above equation is solved and we obtain the<br />

<br />

24<br />

expression for the pull-in voltage for a rigidly clamped<br />

22<br />

circular plate:<br />

20<br />

pure membrane<br />

plate<br />

V PI =<br />

dk2 dD I (k)<br />

0 0 1 0<br />

2 ⎢ −<br />

a 5 ε 2 k I (k)<br />

0 ⎢ 1<br />

⎡<br />

⎣<br />

⎤<br />

⎥<br />

⎥⎦<br />

− 1<br />

(28)<br />

In the case of a pure circular plate the uniform transverse<br />

pressure is obtained from (24):<br />

p 0 =<br />

4<br />

a<br />

D64 w(0)<br />

(29)<br />

and at one-third of the original air gap we obtain the pull-in<br />

voltage for a pure clamped circular plate:<br />

2<br />

D d 6 4 5 ε0<br />

V<br />

0<br />

PI<br />

=<br />

4<br />

a 3<br />

2<br />

⇒ V PI =<br />

d6 0<br />

⎛<br />

⎜<br />

⎝<br />

⎞<br />

⎟<br />

⎠<br />

d8 0<br />

2<br />

a<br />

dD2<br />

0<br />

5 ε<br />

0<br />

(30)<br />

In the case of a pure circular membrane the uniform<br />

transverse pressure is obtained from (25):<br />

2<br />

kD4 w(0)<br />

p 0 =<br />

4<br />

a<br />

(31)<br />

and at one-third of the original air gap we obtain the pull-in<br />

voltage for a pure clamped circular membrane:<br />

a<br />

4<br />

2<br />

⎛<br />

⎜<br />

⎝<br />

2<br />

k d D 5 ε<br />

0<br />

4 0 V<br />

PI<br />

dk dD8<br />

0<br />

0<br />

=<br />

3<br />

2<br />

⇒ V PI = 2<br />

(32)<br />

d6 0<br />

a 5 ε<br />

0<br />

⎞<br />

⎟<br />

⎠<br />

To illustrate the above model of pull-in evaluation, a<br />

clamped circular diaphragm of Young’s modulus E = 169 GPa<br />

and Poisson’s ratio ν = 0,28 is considered. The thickness of the<br />

plate is e = 0,8 μ m and the airgap thickness is d 0 = 3,5 μ m.<br />

The permittivity in free space is ε 0 = 8,5x10 -12 F.m -1 and the<br />

residual in-plane stress is σ 0 =N 0 /e = 20 MPa. Fig. 7 shows<br />

the pull-in voltage for a plate having the previously device<br />

parameters and for a pure membrane as a function of radius. It<br />

is evident that there is negligible difference between the pullin<br />

voltage evaluated using a pure membrane model and given<br />

by (32) and the pull-in voltage our circular clamped plate.<br />

Pull-in voltage (V)<br />

18<br />

16<br />

14<br />

12<br />

10<br />

8<br />

6<br />

4<br />

0.5 1 1.5 2 2.5<br />

Diaphragm radius(m)<br />

x 10 -3<br />

Fig. 9. Pull-in voltage for a pure circular membrane and for a circular plate<br />

V. CONCLUSION<br />

The deflections of clamped square and circular plates<br />

under a uniform transverse load and in-plane tension loads<br />

have been studied in the communication. In particular the<br />

transition from plate behavior to membrane behavior has been<br />

described for a clamped circular plate. A new relatively simple<br />

closed-form model to evaluate the pull-in voltage associated<br />

with rigidly clamped diaphragms subject to an electrostatic<br />

force has been presented and numerical results have been<br />

obtained showing the effectiveness of the method in pull-in<br />

voltage evaluation.<br />

REFERENCES<br />

[1] S. D. Senturia, Microsystem Design. Springer, 2000.<br />

[2] P.O. Osterberg and S.D. Senturia, ’’M-Test: a test chip for MEMS<br />

material property measurements using electrostatically actuated<br />

test structures’’, J. of Microelectromechanical Systems, Vol. 6,<br />

pp.107-117, 1997.<br />

[3] O. Tabata, K. Kawahata, S. Suguyama and I. Igarashi,<br />

’’Mechanical property measurements of thin films using loaddeflection<br />

of composite rectangular membranes’’, Sensors and<br />

Actuators, Vol. 20, pp. 135-141, 1989.<br />

[4] J.Y. Pan, P. Lin, F. Maseeh, S.D. Senturia, ’’Verification of FEM<br />

analysis of load-deflection methods for measuring mechanical<br />

properties of thin films’’, IEEE Solid-State Sensors and Actuators<br />

Worshop, Hilton Head Island, pp. 70-73, 1990.<br />

[5] D. Maier-Schneider, J. Maibach and E. Obermeier, ’’A new<br />

analytical solution for the load-deflection of square membranes’’,<br />

J. of Microelectromechanical Systems, Vol. 4, pp. 238-241, 1995.<br />

[6] S. Timoshenko, Theory of Plates and Shells. Mc Graw Hill, 1959.<br />

[7] S. Chowdhury, M. Ahmadi and W.C. Miller, ’’Nonlinear effects in<br />

MEMS capacitive microphone design’’, International Conference<br />

on MEMS, NANO and Smart Systems 03, Banff, Alberta, Canada,<br />

2003.<br />

[8] J. Lardiès, O. Arbey and M. Berthillier, ’’Analysis of the pull-in<br />

voltage in capacitive mechanical sensors’’, Third International<br />

Conference on Multidisciplinary Design Optimization and<br />

Applications, 21-23 June 2010, Paris.<br />

[9] M Sheplak and J. Dugundji, ’’Large deflections of clamped circular<br />

plates under initial tension’’, J. of Applied Mechanics, Vol. 65,<br />

pp.107-115, 1998.<br />

192


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Optimisation and realisation of a portable NMR<br />

apparatus and Micro Antenna for NMR<br />

Patrick Poulichet 1 , Latifa Fakri-Bouchet 2 , Christophe Delabie 1 , Lionel Rousseau 1 , Abdenasser Fakri 1 and Anne Exertier 1<br />

ESIEE, 2 BD Blaise Pascal, 93162 Noisy Le Grand, France 1<br />

Laboratoire CREATIS - LRMN UMR CNRS 5220, INSERM U630, INSA de Lyon 3, rue Victor-Grignard,<br />

69616 Villeurbanne, France 2<br />

p.poulichet@esiee.fr (+33) 1.45.92.67.18<br />

Latifa.Fakri-Bouchet@creatis.univ-lyon1.fr (+33) 4.72.44.82.08<br />

Abstract- This paper is focused on two designs and<br />

realizations. The first one concerns a prototype of a portable<br />

NMR (nuclear magnetic resonance) apparatus. The second one<br />

concerns NMR micro antenna realization.<br />

For the first part, our goal is the NMR magnetic field<br />

homogeneity and the signal-to-noise ratio (SNR) improvement.<br />

Since de the volume of the sample to analyse is around 1 cm 3 ,<br />

the design is optimized to obtain a good SNR. Particularly, the<br />

magnet is chosen to obtain a high magnetic field with limited<br />

inhomogeneities. The receiver antenna is designed and<br />

optimized to have high feeling factor and then more sensitivity.<br />

A mixer and a low-pass filter are used in order to limit the<br />

bandwidth and reduce the thermal noise. The FID is digitized<br />

and addressed to a FPGA which averages successive<br />

acquisitions in order to increase the SNR. The final acquisition<br />

is processed for determining the FID spectrum.<br />

In the second part, a new concept of micro coil is presented<br />

in order to measure the small volumes and small<br />

concentrations samples by NMR spectroscopy at 4.7 T (200<br />

MHz proton frequency resonance).<br />

This micro sensor would offer the possibility of new<br />

investigation techniques based on micro coils’ implantation<br />

used for in vivo study of local cerebral metabolites of animals<br />

models.<br />

Keyword: NMR, single side, portable, magnet, micro<br />

coil.<br />

I. INTRODUCTION<br />

The NMR signal measurement requires a static magnetic<br />

field B0 and a pulsed frequency varying B1 magnetic field.<br />

The studied sample is submitted to these magnetic fields.<br />

Then, the B1 magnetic field is applied at a Larmor<br />

frequency (1T correspond to 42.57 MHz), is applied, the<br />

signal FID (Free Induction Decay) generated by<br />

magnetization motion is acquired using a detection coil.<br />

In mobile NMR, B0 is generated with an arrangement of<br />

magnets in order to deliver a homogeneous magnetic field.<br />

For measurement of the relaxation (T2 or T1) or a spectrum,<br />

inhomogeneities must be as low as possible particularly if<br />

the objective of the measurement is spectroscopy (ΔB0/B0<br />

≅ 10 ppm).<br />

Reference [4] is a review of the different ways of the array<br />

magnets setup in order to obtain the best B0 homogeneity.<br />

Reference [1] reports the construction of a mobile<br />

tomography device by exploiting the concept of movable<br />

permanent magnets in the shim unit of a Halbach array. The<br />

cross section of a banana placed inside the magnets is<br />

presented. Reference [2] proposes a complete procedure for<br />

permanent magnet design, fabrication, characterization and<br />

shimming. 1H NMR spectrum of a 3 mm 3 sample of water<br />

doped with CuSO4 in the shimmed magnet is presented.<br />

The half-height full width (HHFW) is about 12 ppm.<br />

Reference [3] presents a single-sided mobile NMR<br />

apparatus with a small Halbach magnet. It is lightweight,<br />

compact and exhibits good sensitivity.<br />

Reference [5] the spectrometer design that uses an FPGA.<br />

The system is composed of an FPGA chip and several<br />

peripheral boards for USB communication, direct-digital<br />

synthesis (DDS), RF transmission, signal acquisition, etc. In<br />

the FPGA have been implemented a number of digital<br />

modules including three pulse programmers, the digital part<br />

of DDS, a digital quadrature demodulator, dual digital lowpass<br />

filters, and a PC interface have been implemented.<br />

The feasibility to use a new generation of microcoils was<br />

proposed in a recent study [6]. It demonstrated potential<br />

opportunities in terms of increased signal-to-noise ratio<br />

(SNR), spatial resolution, and limits of detection (LOD) [7]<br />

compared to the surface-coil [8]. Their use for localized<br />

spectroscopic studies of NMR observable cerebral<br />

metabolites into 2mm 3 region of interest (ROI), aims to<br />

push limits of in vivo detection.<br />

II. MOBILE NMR<br />

In our design, we used the mains parts describe in [5] in<br />

order to realize portable NMR apparatus dedicated to<br />

portable NMR. The aim is to optimize the SNR. The magnet<br />

(alnico) uses two large piece of steel in order to reduce<br />

inhomogeneities of the magnetic field.<br />

Fig. 1 shows the functional schematic of the spectrometer<br />

connected to the PA (Power Amplifier) and connected to<br />

193


the LNA (Low Noise Amplifier). The duplexer use a circuit<br />

with two diodes for ensuring a good isolation when a signal<br />

is applied to the generation coil.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

using USB protocol. There are some daughter cards<br />

connected to the FPGA as shown in Fig. 2. The FPGA also<br />

ensures that the delivery signal parameters are correct.<br />

Fig. 1. Functional schematic of the connexions between the<br />

spectrometer and the coils<br />

A. Optimisation of the SNR<br />

Like the volume of the sample to analyze is around 4,6 cm 3 ,<br />

we choose the dimension of the air gap, the wire diameter,<br />

the noise factor of the preamplifier in order to deliver a<br />

signal above the noise. The measured induction B 0 is 0,116<br />

T. With the constant gyromagnetique γ, we determine the<br />

Larmor frequency :<br />

f 1<br />

0<br />

= γ .<br />

0<br />

4.92<br />

2π<br />

B = MHz<br />

With the value of the Plank constant h and the Bolzman<br />

constant k, we obtain the magnetic moment M0 :<br />

B<br />

M0 = N. γ .3. = 9,9.10<br />

4. kT .<br />

2 2 0<br />

−6<br />

The FID value is : ξ = K. ω0. BM<br />

1. 0.<br />

V when K is an<br />

homogeneity factor, B 1 the alternative magnetic field and V<br />

the volume of the sample. In order to increase the B 1<br />

magnetic value, a separate inductance from the detection<br />

coil was chosen. With a current of nearly 5 A in the<br />

generation inductance, ξ = 14 µV. By taking into account<br />

the bandwidth of the detection coil Δf = 500 kHz, the<br />

spectral density of noise across this inductance is calculated:<br />

e n = 1,6.10 -10 V. With the noise factor of the preamplifier,<br />

the SNR is calculated: SNR ≅ 100. In this calculus, the<br />

noise is underestimated because only the thermal noise from<br />

the detection coil and the noise from the LNA are take into<br />

account.<br />

B. Spectrometer<br />

Fig. 2 shows the functional schematic and the realization<br />

of the spectrometer used to control FID generation,<br />

acquisition and processing. A Cyclone III FPGA is used to<br />

control the FID record, acquisition time, sequence repetition<br />

time used for NMR, FID storage and transfer to the PC<br />

Fig. 2. Functional schematic and realization of the<br />

spectrometer<br />

To digitize the FID, an ADC 14 bits - 65 MIPS is used.<br />

Then data are fed into the FPGA memory. After M samples<br />

of N points, the digital word is sent to the PC via USB port.<br />

When the acquisition parameters are defined, the<br />

generated signal is sent by the DDS and an amplitude<br />

modulation is applied if necessary via the DAC. The<br />

amplitude and frequency modulation can be used to<br />

generate complex shapes of chirps in order to compensate<br />

the inhomogeneities of B0.<br />

Fig. 3. Magnet and antenna connected to the duplexer<br />

The magnet and the duplexer of the Fig. 3 were connected<br />

to the LNA and the PA.<br />

Using two large pieces of steel, low inhomogeneities are<br />

expected. The sample of liquid of the Fig. 3 is placed inside<br />

the air gap of the magnet.<br />

Fig. 4 shows an acquired signal with a superposed noise<br />

and the same signal with noise removed. This last signal is<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

194


11-13 <br />

May 2011, Aix-en-Provence, France<br />

generated by the treatment operated in the FPGA.<br />

<br />

good aspect and good uniformity of the deposited layer.<br />

Fig. 6. Micro coil on the right and the PCB used to tune and<br />

match the signal<br />

Fig. 4. Signal generated with and without the noise<br />

The process to deposite the thick resist is difficult to<br />

optimise because the thickness is around 50 µm. The resist<br />

have to be etched for 50 µm on a width of 20 µm. Thus, at the<br />

bottom of the hole, if there are some rests of the resist, the<br />

adherence of the cooper will be poor. It’s this problem that we<br />

can see on the Fig. 7; there a lack of cooper inside the cercle.<br />

Fig. 5 shows the shape of the signal generated from the<br />

spectrometer. We choose to deliver a sequence CPMG of three<br />

pulses [π/2 π π] separated by [t 2 t 4 t 6 ]. Each times are<br />

programmed in the VHDL code of the FPGA.<br />

Fig. 7 : Photography of the microcoil NMR<br />

Fig. 5 : Shape of the generated signal from the spectrometer<br />

The pattern represents in the Fig. 8 is used to verify that<br />

the resistivity of the layer copper deposited is correct. The<br />

thickness is 30 µm, the width is 42 µm and the total length<br />

of the pattern is about 10.9 mm. Thus resistivity calculation<br />

−8<br />

gives ρ = 2,88.10 Ω .m . After bake at 150 °C during 30<br />

−8<br />

minutes, the resistivity is ρ = 2.10 Ω .m . It is not far from<br />

−8<br />

the theoretical copper resistivity ρ = 1,72.10 Ω .m .<br />

II.<br />

MICROCOIL NMR<br />

NMR micro coil represented in Fig. 6 detects the FID and<br />

is connected to the PCB for tuning and matching circuit. It<br />

is connected to the PCB for tuning and matching. Then, the<br />

signal is fed to an external amplifier. To improve the SNR,<br />

the resistance of the micro coil has to be very low.<br />

Therefore, the thickness of the copper used to realize the<br />

coil has to be thick so usually it uses electroplating. We<br />

optimize the electroplating process: flow of the electrolyte,<br />

current density, additive in the electrolyte in order to obtain<br />

Fig. 8. Shape used to determine the resistivity of the<br />

electroplate copper<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

195


III.<br />

CONCLUSION<br />

Two important parts necessary for NMR measurement are<br />

described in this paper. In the first part, a portable NMR<br />

apparatus is described. In the future, the array of magnets<br />

will be modified to reduce the inhomogeneities of B0. The<br />

running works concern also the achievement of PC<br />

supervisor linked to the Portable NMR divice.<br />

In the second part, the realization of a micro coil is<br />

described.<br />

The two parts are designed to constitute an original NMR<br />

portable system for the analysis of low volumes samples.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A special thanks to the folowing students that worked on<br />

one part of this projet: LIMA MIGLIORINI Fabricio,<br />

ZHANG Shu and ZHANG Hao.<br />

REFERENCES<br />

[1] E. Danieli, J. Mauler, J. Perlo, B. Blümich, F. Casanova, “Mobile<br />

sensor for high resolution NMR spectroscopy and imaging”<br />

Journal of Magnetic Resonance 198, 2009, pp 80-87.<br />

[2] C. Hugon, F. D’Amico, G. Aubert, D. Sakellariou, “Design of<br />

arbitrarily homogeneous permanent magnet systems for NMR<br />

and MRI: Theory and experimental developments of a simple<br />

portable magnet“, Journal of Magnetic Resonance 205, 2010,<br />

pp75-85.<br />

[3] W-H Changa, J-H Chena, L-P Hwang “Single-sided mobile NMR<br />

with a Halbach magnet” in Magnetic Resonance Imaging 24, 2006,<br />

pp 1095–1102.<br />

[4] V. DEMAS, P. J. PRADO, “Compact Magnets for Magnetic<br />

Resonance”, Concepts in Magnetic Resonance PartA, Vol. 34A(1),<br />

2009, pp 48–59.<br />

[5] K. Takeda, “OPENCORE NMR: Open-source core modules for<br />

implementing an integrated FPGA-based NMR spectrometer”,<br />

Journal of Magnetic Resonance 192, 2008, pp 218–229.<br />

[6] Baxan et al, C.R.Chim.2007.<br />

[7] Lacey et al, Chem.Rev.1999.<br />

[8] Kadjo , et al, ESMRMB, Valencia, 2008.<br />

BIOGRAPHIE<br />

Patrick Poulichet received his degree of electrical engineer at<br />

CNAM-Paris in 1998. He received the Ph.D. degree in Electrical<br />

Engineering from the École Normale Supérieure de Cachan (SATIE<br />

CNRS UMR 8029) in 2001. Since 1995, he has been with the<br />

Department of Electrical Engineering, at ESIEE (Ecole Supérieure<br />

d’Ingénieurs en Electronique et Electrotechnique) in France, as an<br />

Associate Professor. His research concerns portable NMR, integrated<br />

electronic and MEMS, and EMC.<br />

Latifa Fakri-Bouchet received her Ph. D degree in the field of<br />

biomedical engineering from Lyon 1 university in 1996. Lastly she<br />

obtained the “habilitation” for Research Heading (HDR, 2008). Since<br />

1995, she was assistant Professor (ATER), and then Associate<br />

Professor at Institut Universitaire de Technologie (IUT) of Lyon, and<br />

Laboratory CREATIS-LRMN UMR CNRS 5220, U630 INSERM,<br />

Université Lyon1 Claude Bernard, INSA de Lyon. Her main interest<br />

is in development of electronic circuits, and instrumentation<br />

dedicated to NMR biomedical applications, more particularly coils<br />

and micro coils design, and NMR instrumentation potentially leading<br />

to developments for clinical purposes and industrial applications.<br />

196


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Convex Corner Compensation for a Compact Seismic<br />

Mass with High Aspect Ratio Using Anisotropic Wet<br />

Etching of (100) Silicon<br />

Jyh-Cheng YU<br />

National Kaohsiung First University of Science and Technology<br />

2 Jhuoyue Rd.,Nanzih , Kaohsiung City 811,Taiwan, R.O.C.<br />

Abstract - This paper reports a novel convex corner<br />

compensation design for a compact seismic mass of high aspect<br />

ratio using KOH etching of (100) silicon. Anisotropic wet<br />

etching is often applied to fabricate a seismic mass due to cost<br />

advantage. Dimension of the convex corner compensation<br />

pattern is in proportional to etching depth, which restrain the<br />

miniature of seismic mass and supporting beams. If the width<br />

of the seismic is too small, overlap of compensation pattern<br />

occurs to cause a compensation failure. This study presents a<br />

corner compensation for a mesa with high aspect ratio using<br />

oriented compensating bands augmented with a<br />

mandatory separation and a -oriented beam to the<br />

truncated bands due to the overlap of adjacent<br />

compensation patterns. An empirical equation is presented from<br />

the simulation of anisotropic etching using Intellisuite. The<br />

design can produce a satisfactory wet etching mesa with the<br />

aspect ratio of 0.6, while a typical oriented<br />

compensating bands can only applied to a mesa with a largest<br />

aspect ratio of 0.35. A mesa is etched using 30%, 80°C KOH to<br />

verify the design feasibility.<br />

I. INTRODUCTION<br />

Mesa with thin supported beams is a typical configuration<br />

for inertia sensors [2][3][4] as shown in Figure 1. Wet bulk<br />

micromachining processes of (100) silicon such as KOH and<br />

TMAH are widely used to the fabrication of the seismic mesa<br />

due to cost advantages in comparison with dry etching [1].<br />

However, corner compensation pattern is required in the mask<br />

design for protrusion corners to prevent undercut in wet<br />

chemical etching as shown in Figure 2.<br />

Various compensation patterns were proposed to such as<br />

simple squares, triangles, oriented bands, <br />

oriented band with narrow beams, etc. [5][9]. The<br />

compensation of simple oriented bands is widely<br />

applied because perfect shaped convex corners can be produced.<br />

The width of the band is twice the etching depth and the length<br />

of the band depends on the etch rate of the {411} plane relative<br />

to the {100}. Typical length for KOH etching is about 3.2 times<br />

of etching depth as shown in Figure 3 [6].<br />

Figure 1 Typical configuration of a inertial sensor<br />

{111}<br />

{110}<br />

{001}<br />

151.9°<br />

{411} {411}<br />

{111}<br />

{110}<br />

Figure 2 Appearance of fast etching planes at the convex corner during<br />

anisotropic etching<br />

Figure 3 Dimensions and successive etched shape of the oriented<br />

compensating beam [6]<br />

Since the size of the compensation pattern is in proportional<br />

to etching depth, which refrains from further miniature of the<br />

devices with convex corners. If a typical band<br />

compensation is used, the constraints among the size of the<br />

197


11-13 May 2011, Aix-en-Provence, France<br />

<br />

seismic mass and the length of the supported beams in Figure<br />

3 and Figure 4(a) are listed in (3) to (4).<br />

W = 2H (1)<br />

L =3. 2H (2)<br />

m<br />

> 23 HW<br />

(3)<br />

W3 > 21.1<br />

H<br />

(4)<br />

Some other comer compensations suggested a oriented<br />

band and fan-like [110]-oriented side beams [6] and a<br />

modified band design [7] to reduce the required groove<br />

width, W 3 for the compensation pattern. However, if the edge<br />

width for the corner compensation is limited, such as a small<br />

seismic mass with large etching depth, compensation designs<br />

fail due to the overlap of neighboring patterns as shown in<br />

Figure 4(b). If overlap of compensation occurs, the etched<br />

mesa dimensional will be incorrect and convex corners will<br />

undercut.<br />

Wn = 2*H - 0.0007C 2 - 0.02C (5)<br />

Ln= 3.2*H + 0.0101C 2 - 3.66C (6)<br />

B = 1.91C (7)<br />

where C is the overlap of adjacent conventional -oriented<br />

bands as shown in Figure 4(b).<br />

(a)<br />

Figure 4 Overlap of adjacent -oriented bands due to limited width of<br />

square<br />

This study presents a corner compensation for a mesa with<br />

high aspect ratio using oriented compensating bands<br />

augmented with a mandatory separation and a -oriented<br />

beam to the truncated bands due to the overlap of<br />

adjacent compensation patterns. An empirical equation is<br />

presented from the simulation of anisotropic etching using<br />

Intellisuite.<br />

II. METHODOLOGY<br />

In the wet etching of seismic mass, conventional oriented<br />

bands of corner compensation is good if the ratio<br />

between the etching depth and the width of the mesa top is<br />

smaller than 0.35. If the aspect ratio (H /W m ) is larger than 0.35,<br />

the adjacent compensation patterns overlap. Here a modified<br />

design is presented as shown in Figure 5. The overlap bands are<br />

truncated with a separation of 20 (μm). A [110]-oriented beam<br />

is introduced to the truncated pattern. The width and the length<br />

of the band and beam are related to the etching depth (H). An<br />

empirical formula for KOH etching is presented from various<br />

simulations using Intellisuite and least squared curve fitting as<br />

shown in (5)~(7).<br />

(b)<br />

Figure 5 A modified -oriented bands for limited width of square.<br />

If the aspect ratio of mesa is smaller than 0.35, a<br />

conventional oriented band compensation will perfect<br />

convex corners. If a small mesa is fabricated using deep bulk<br />

wet etching, the proposed design provides a satisfactory convex<br />

corner for the seismic mass with aspect ratio between 0.35 and<br />

0.625. Several compensation design based on the proposed<br />

method are shown in Figure 6 to Figure 8. With the increase of<br />

aspect ratio, minor corner undercut presents. If the aspect ratio<br />

is larger than 0.625, corner undercut will still aggravate.<br />

The verification result for a mesa with width of 400 (μm)<br />

and etching depth 250 (μm) is shown in Figure 9. The etchant<br />

is 30% 80°C KOH. The corresponding aspect ratio of the mesa<br />

is 0.675. Only minor undercut can be observed in convex<br />

corners using the proposed compensation design, while typical<br />

compensation using simple -oriented bands fail<br />

completely for this case.<br />

198


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Figure 6 Simulation result for the proposed compensation design of a seismic<br />

mass of 400 (μm) width and aspect ratio of 0.38<br />

III. CONCLUSION<br />

This paper reports a novel convex corner compensation<br />

design for a compact seismic mass of high aspect ratio using<br />

KOH etching of (100) silicon. An empirical equation is<br />

presented from the simulation of anisotropic etching using<br />

Intellisuite. The design can produce a satisfactory wet etching<br />

mesa with the aspect ratio up to 0.625 compared to a typical<br />

oriented compensating bands can only applied to a mesa<br />

with a largest aspect ratio of 0.35. The verification experiment<br />

for a mesa is etched using 30% 80°C KOH verifies the design<br />

feasibility.<br />

Keywords: KOH wet etching, fabrication of seismic mass,<br />

silicon etching, convex corner compensation, Intellisuite<br />

Figure 7 Simulation result for the proposed compensation design of a seismic<br />

mass of 400 (μm) width and aspect ratio of 0.57<br />

Figure 8 Simulation result for the proposed compensation design of a seismic<br />

mass of 400 (μm) width and aspect ratio of 0.625<br />

REFERENCE<br />

[1]. Marc, J. Madou., Fundamentals of microfabrication: the<br />

science of miniaturization, 2 nd Ed.,(2002)<br />

[2]. Chen, H., Shen, S., and Bao, M., “Over-range capacity of<br />

a piezoresistive microaccelerometer”, Sensor and<br />

Actuator A., Vol.58, No. 3, (1997), pp. 197-201<br />

[3]. Yu, J. and Lai., F.H., “Design And Fabrication Of<br />

Microaccelerometers Using Piezoelectric Thin Films”,<br />

Ferroelectrics., Vol.263, (2001), pp.101-106.<br />

[4]. Yu J., Lee C., Chang C., Kuo W., Chang C.: Modeling<br />

Analysis of a Tri-Axial Microaccelerometer with<br />

Piezoelectric Thin-Film Sensing Using Energy Method,<br />

paper accepted, to appear in Journal of Microsystem<br />

Technologies.<br />

[5]. Lang, Walter., “Silicon Microstructuring Technology”,<br />

Materials Science and Engineering, R17, 1996, pp. 1-55.<br />

[6]. Mayer, G K, Offereins, H L, Sandmaier, H and Kuhl, K,<br />

“Fabrication of non-underetched convex corners in<br />

anisotropic etching of (100) silicon in aqueous KOH with<br />

respect to novel micromechanic elements,” J.<br />

Electrochem. Soc., (1990) 137, 3947–3951.<br />

[7]. Zhang, Qingxin., Liu, Litian., Li, Zhijian., “A new<br />

approach to convex corner compensation for anisotropic<br />

etching of (100) Si in KOH”, Sensors and Actuators., A<br />

56, (1996), pp.251-254.<br />

[8]. Mayer, G K, Offereins, H L, Sandmaier, H and Kuhl, K,<br />

“Fabrication of non-underetched convex corners in<br />

anisotropic etching of (100) silicon in aqueous KOH with<br />

respect to novel micromechanic elements,” J.<br />

Electrochem. Soc., (1990) 137, 3947–51.<br />

[9]. Pal, P., Sato, K. and Chandra, S., “Fabrication techniques<br />

of convex corners in a (1 0 0)-silicon wafer using bulk<br />

micromachining: a review”, J. Micromech. Microeng. 17<br />

(2007) R111–R133.<br />

Figure 9 SEM of the etching result for the compensation in Figure 8<br />

199


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A Programmable Neural Measurement System for<br />

Spikes and Local Field Potentials<br />

Jonas Pistor, Janpeter Hoeffmann, Dagmar Peters-Drolshagen and Steffen Paul<br />

Institute of Electrodynamics and Microelectronics (ITEM.me)<br />

University of Bremen<br />

Abstract- This paper presents a configurable system<br />

measuring and pre-processing neurological data to be<br />

transmitted over a wireless RF datalink (the datalink<br />

itself is not part of this work). The system is capable of<br />

measuring spikes and/or local field potentials of up to<br />

128 electrodes with a variable resolution up to 16 Bit at<br />

a variable sample rate up to 10 kHz consuming roughly<br />

70mW. It represents the first step of the development of<br />

an implantable neurological measurement unit. In this<br />

paper the system-architecture, the digital system and the<br />

FPGA implementation of the developed neural<br />

measurement system are presented.<br />

I. INTRODUCTION<br />

Neural engineering represents a challenging part in<br />

medical engineering. One major goal in neural engineering<br />

is the development of an electrical interface to the human<br />

brain. The motivation for this endeavor lies in the possible<br />

cure of diseases like epilepsy, Parkinson and other<br />

metabolic disorders in the brain. In addition the ongoing<br />

research in neural prostheses relies on a proper<br />

understanding of the brain functions.<br />

To meet all the requirements of research and medical<br />

facilities it is crucial to measure neurological data in longterm<br />

and due to different applications with a highly flexible<br />

amount of measured neurological data in terms of number<br />

of channels and in terms of transmitted data rate.<br />

A. Related Work<br />

Groups at Brown University [1] and at Stanford<br />

University [2] have both presented their systems to measure<br />

neurological data. From the system point of view these two<br />

systems differ in integration degree and in focus of<br />

application.<br />

The Wireless, Ultra Low Power, Broadband Neural<br />

Recording Microsystem (NRM) developed at Brown<br />

University is an implantable device designed for<br />

transmitting cortical signals from 16 channels<br />

percutaneously over a wireless data link. Since the system is<br />

fully implantable it is necessary to incorporate a power and<br />

data telemetry interacting with the external receiving<br />

equipment. The NRM incorporates a two-panel system<br />

approach. The power demanding parts of the NRM are<br />

located in the cranial unit, whereas the sensing elements are<br />

placed in the cortical unit. The two panels are connected<br />

with a percranial cable.<br />

The HermesD-System developed at Stanford University<br />

is a measurement unit placed in skull-mounted aluminum<br />

housing with the focus on a high data rate transmitted via<br />

FSK over a relatively large distance.<br />

Since the measurement unit should not be implanted, the<br />

energy for the system can be provided by batteries. The<br />

HermesD-System provides a high degree of flexibility in the<br />

system architecture since the electrical components of the<br />

measurement unit could easily be adapted or exchanged<br />

during operation.<br />

At the University of Utah [3], the INI-Chip was<br />

developed. It is a highly integrated neural measurement<br />

system, designed for a chronically cortical implant. This<br />

single-chip device integrates all modules necessary for a<br />

fully implantable neural measurement system.<br />

B. This Work<br />

The digital system presented in this paper aims to fit into<br />

an intelligent neural measurement system, combining the<br />

advantages of a fully implantable medical device with the<br />

high flexibility of a skull-mounted external measurement<br />

unit.<br />

Since the hardware components of a fully implantable<br />

device cannot be adapted or exchanged, the desired<br />

flexibility has to come from a programmable electrical<br />

device. The neuro frontend presented in this paper is<br />

capable of measuring a dedicated subset or all of its up to<br />

128 electrodes enabled by a user defined channel mask.<br />

Besides the masking of channels, each channel is widely<br />

adjustable in terms of resolution, sample rate and input filter<br />

characteristics. All these adjustments can be done during<br />

operation, leading only to small gaps in data acquisition.<br />

The system provides a timestamp counter related to each<br />

data packet, which serves as a quality indicator for the<br />

signal integrity at all times.<br />

Besides the high degree of configurability in terms of<br />

collecting and handling highly parallel measurement data it<br />

is crucial to meet the requirements of a possible (wireless)<br />

RF Transceiver concerning the format of incoming<br />

(neurological) data. The digital system described in this<br />

paper is designed for a low power RF Transceiver (ZL70102<br />

from Zarlink Semiconductor) expecting a serial SPI-data<br />

stream which is divided into packets consisting of blocks<br />

with a fixed number of bits to be sent out.<br />

200


Now the challenge in data handling with this wide range<br />

of parallel recording bitrate (tens of Mb/s for 128 channels,<br />

with 16 bit resolution at 10kS/s down to single kb/s) due to<br />

the high flexibility is to ensure that the sent blocks are<br />

always fully filled with neurological data in terms of<br />

channel transitions between two active channels with a<br />

specific resolution and a given sample rate. To ensure this<br />

effective data transmission, additional circuitry is needed to<br />

sort the valid data and to buffer the data until one whole<br />

packet is complete with filtered neurological data ready for<br />

transmission.<br />

Besides the intended RF transceiver, any other transceiver<br />

taking a serial bit stream via SPI might be used in the<br />

presented system. The measured data rate of neural signals<br />

can easily be adapted to the data rate of the transceiver to<br />

achieve a maximum throughput in data and to meet the<br />

particular needs of the intended application.<br />

II. SYSTEM-ARCHITECTURE<br />

The system architecture, where the discussed neuro<br />

frontend is a key component, is shown in Fig. 1. The neural<br />

signals are recorded by an array of passive electrodes or<br />

needles. The signals are fed into the RHA2116 from Intan<br />

Technologies, a single-chip Fully Integrated 16-Channel<br />

Biopotential Amplifier Array [4]. This array incorporates a<br />

true DC decoupling of incoming neural signals, an LNA<br />

stage with adjustable bandwidths and an analog multiplexer<br />

routing a selected channel off the chip. The true DC<br />

rejection is necessary, since there are always built-in<br />

potentials at the interface between brain tissue and<br />

electrode.<br />

The 16 channels of preamplified and prefiltered neural<br />

signals are multiplexed in a cyclic order and digitized by the<br />

16 bit on-chip low power ADC incorporated in the<br />

RHA2116 from Intan Technologies.<br />

In order to measure up to 128 channels, one has to<br />

incorporate eight of these single-chip amplifier arrays,<br />

resulting in eight parallel channels, each carrying serial data<br />

of 16 channels running in our digital system. The digital<br />

system will be described in much more detail in chapter III-<br />

“Digital system”.<br />

As depicted in Fig. 1, the whole measurement system also<br />

requires a certain transceiver, in this case the ZL70102. The<br />

power supply of the system will be realized via an inductive<br />

link, since the system is designed for implantation and<br />

hence a wired power supply is not appropriate.<br />

The inductive link could also serve as a clock source<br />

where an external clock is modulated on the power carrier.<br />

III. DIGITAL SYSTEM<br />

The digital system allows the user to interact with the<br />

implanted system via an instruction set. The user is able to<br />

reconfigure the system in its flexibility and adjusting the<br />

system to meet the requirements. To do so, there exists a set<br />

of predefined instructions described in detail in the<br />

following sections.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

HUMAN BRAIN<br />

ch1<br />

ch128<br />

neuro frontend<br />

RHA<br />

2116<br />

RHA<br />

2116<br />

RHA<br />

2116<br />

RHA<br />

2116<br />

RHA<br />

2116<br />

RHA<br />

2116<br />

RHA<br />

2116<br />

RHA<br />

2116<br />

8<br />

Inductive Powering<br />

& clock recovery<br />

digital system<br />

clk<br />

filter<br />

power gating<br />

of each RHA<br />

: INPUT<br />

: OUTPUT<br />

RF-<br />

Transceiver<br />

A. Commands<br />

On/Off: These commands enable or disable the digital<br />

system. Due to this commands the system is prompt to start<br />

from or settle to a known and well defined operational<br />

status.<br />

Channel Mask: To mask each of the 128 channels there is<br />

a bit string consisting of 128 entries, where every channel is<br />

represented by a bit in the string. In a measurement<br />

scenario, where only a subset of channels is of interest, all<br />

other channels can be disabled. The number and order of<br />

active channels obtained from the user defined channel<br />

mask, is fed into the Protocol Builder in order to generate a<br />

serial bit stream to the transceiver, consisting only the<br />

active channels in a close-packed manner.<br />

Resolution: The RHA2216 produces a serial data stream<br />

as the output of the on chip ADC. Each of the 16 bit<br />

samples represents a single measured value from one<br />

electrode at a time. Besides the digitized raw data, each<br />

ADC sample is led by three fixed bits, five bits for the<br />

current channel number and a parity bit for error checking if<br />

needed. So each sample value is represented by a 25-bit<br />

data frame. The adjustable resolution only affects the 16 bit<br />

of digitized raw data. During signal propagation through<br />

our digital system the data frame is reduced to its data-bits.<br />

After cutting off the non-data bits, the resolution is<br />

adjustable from 16 down to 1 bit, cutting off the LSBs<br />

successively. Especially for spike detection where the<br />

appearance of a spike is of interest, not the exact shape, the<br />

possible reduction in resolution displays a way for saving a<br />

lot of data. The data must not be processed as well as<br />

transmitted over the RF-Link, hence reducing the power<br />

consumption of the overall system.<br />

data from 8 RHAs<br />

V DD<br />

coupling<br />

1<br />

Base<br />

Station<br />

(ZL70102)<br />

Fig. 1.System architecture with main parts of the neural measurement<br />

system including the neuro frontend and the digital system.<br />

201


Fig. 3. Architecture of the Digital Circuit.<br />

Sample Rate: The on chip ADC has a native samplerate<br />

of 10kS/s/channel. If there is no need for this high sample<br />

rate e.g. during measurement of low frequency Local Field<br />

Potentials (LFPs) or due to a very limited data rate in the<br />

RF-Link, one can scale the sample rate down to the desired<br />

value. The sample rate can be reduced to 40S/s/channel.<br />

The reduction of the sample rate also leads to reduced<br />

power consumption similar to a reduced resolution.<br />

Filter Settings: In order to reconfigure the filter<br />

characteristics of the input filters, one can select between<br />

different settings. It is obvious that measuring Local Field<br />

Potential or Spikes (Action Potentials) resulting in a<br />

different need for filtering the incoming data.<br />

The command frame is shown in Fig. 2. It consists of an<br />

ASCI-“i”, Device_ID, Command, Parameter [opt.] and a<br />

linefeed. The command frame is led by the packet type<br />

‘0011’ and since the command frame structure is byte<br />

orientated, there is an additional nibble filled with zeros.<br />

The Device_ID is important, if there are more than one<br />

measurement systems running collateral. The command<br />

byte contains the bit string for the corresponding command.<br />

If the command carries any additional parameters like the<br />

128-bit channel mask or the resolution, the parameter block<br />

in the command frame is filled with data. The linefeed<br />

indicates the end of the command frame.<br />

B. Architecture of the Digital Circuit<br />

The digital system is divided into four major blocks and a<br />

set of registers, as shown in Fig. 3. The whole data path (the<br />

way from the measurement interface to the transceiver) is<br />

kept serial, which means a lot of effort for data<br />

manipulation, but reduces hardware and bandwidth<br />

overhead for setting any resolution of the measurement data<br />

between 1 and 16 Bit. The data path doesn’t cross the<br />

Controller, but is strongly influenced by the settings of the<br />

Fig. 2. Command Frame.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

register bank defined by the Controller.<br />

Transceiver Interface (TI): Modern integrated<br />

transceivers often contain a large number of settings and an<br />

integrated microcontroller. The TI initially configures the<br />

transceiver after power on. Setting the channel, the frame<br />

size or the modulation type are some examples for the<br />

initialization process. Once the initialization is done, the TI<br />

translates the serial measurement data coming from the<br />

Protocol Builder to meet the protocol of the transceiver.<br />

The TI contains an SPI Interface and writes the data into<br />

the TX Buffer of the transceiver. Besides the serial interface<br />

for the measurement data, the TI has an 8-bit parallel<br />

interface to the Controller for receiving commands and<br />

sending status messages. Fig. 5 shows the SPI output<br />

spi_sdo of the Transceiver Interface, where the RX buffer is<br />

polled and where the measurement data is written into the<br />

TX buffer of the transceiver.<br />

Measurement Interface (MI): This interface accesses the<br />

eight RHA2116 ICs. Each RHA samples 16 analog inputs<br />

with a resolution of 16 Bit at 10kS/s/channel, regardless of<br />

the quality and the characteristics of the input signals. As<br />

mentioned in the description of the resolution command<br />

one task of the MI is to gate the incoming data in order to<br />

extract the 16 bits of measurement data out of the 25-bit<br />

data frame.<br />

If the complete 25-bit data frame would be transmitted<br />

instead, one would need to have an RF-Transceiver capable<br />

of handling up to 11.52 MB/s additional transmit-data. In<br />

order to cut off the protocol overhead from the 16-bit raw<br />

data, there is an internal counter triggered by the<br />

synchronous pulses generated by the RHA. This internal<br />

counter is also used to cut off a subset of LSBs as specified<br />

in the resolution command.<br />

Therefore the MI-logic enables only valid data, in terms<br />

of user desired data out of the incoming serial bit stream to<br />

propagate in an ongoing serial manner to the Protocol<br />

Builder.<br />

To ensure that there is only valid data running through the<br />

MI, the Measurement Interface needs to evaluate the user<br />

defined parameters stored in the Register Bank and apply<br />

them on the serial data stream.<br />

To evaluate the channel mask, the MI has to compare the<br />

current active channel, represented by the channel number,<br />

with the corresponding entry in the channel mask. Even if<br />

the channel number is included in the 25-bit data frame, it is<br />

not uses for data handling, since the fact that the channel<br />

number is not encoded in an optimal way in terms of bit<br />

representation. The channel number encoded in the<br />

RHA2116 data stream is designed to maintain compatibility<br />

with a certain ADC from Analog Devices. To reduce the<br />

protocol overhead in data-handling the authors generated a<br />

new channel number encoded straight binary (Fig. 5 –<br />

mea_mux_ch[3:0]).<br />

Besides the channel mask the sample rate also has a direct<br />

influence on the outgoing serial data stream of each of the<br />

eight data lines. If there is an application specific need to<br />

reduce the sample rate e.g. by half, the MI logic disables<br />

every other sample value, containing one measurement<br />

202


value of every active channel. Therefore the MI has to know<br />

the beginning of a new measurement cycle. To do so, the<br />

MI evaluates a synchronization impulse, generated by the<br />

RHA every time one measurement cycle is finished. In<br />

addition it is important to execute adaptations to the<br />

commands only at a defined state of the MI to avoid<br />

unwanted intermediate states.<br />

Also the synchronization to external events like eye<br />

movement of the patient might be of interest in certain<br />

applications. In terms of signal integrity one also would like<br />

to ensure that every data packet is collected properly. If<br />

there is a loss in measurement data, it could be of interest<br />

which packets are missing. With a timestamp generated by<br />

the MI is it possible to detect any packet loss at the base<br />

station and to correct the inaccuracy of the integrated<br />

onboard quartz.<br />

The timestamp is an internal 16 bit counter incremented<br />

by each recorded measurement sample (10kHz) regardless<br />

of settings in the samplerate or channelmask. This<br />

timestamp is attached to every transmitted data packet (Fig.<br />

6). With this counter one is able to verify if the transmitted<br />

timestamp matches the expected one, or if there is a loss in<br />

synchronicity leading to degradation in signal validity.<br />

If there is a demand for operating only a dedicated subset<br />

of RHAs, the MI has the functionality to disable the<br />

outgoing data stream of the unwanted RHAs. Due to this<br />

switch, the further instances connected to the disabled<br />

RHAs stop operation as well. This leads to a reduced power<br />

consumption of the overall system.<br />

The MI also evaluates the parity-bit included in the 25-<br />

bit-data-frame of each of the eight RHAs. If there is a parity<br />

mismatch in one of the user defined active channels, there is<br />

an error-flag indicating a bit failure.<br />

Protocol Builder (PB): The Protocol Builder assembles<br />

the measurement data into a compact data packet including<br />

a header with the parameters from the Register Bank. The<br />

packet has a variable length, depending on the number of<br />

channels of the current measurement and the selected<br />

resolution. Several constraints made the Protocol Builder<br />

growing to the largest block of the design: The<br />

measurement data comes on eight lines in bursts of 4 MHz<br />

while the transceiver expects data to be clocked in with 4<br />

MHz. This means for the worst case an input rate of 32<br />

Mb/s. The data has to be stored until the next sample burst<br />

and written into the TX buffer. To keep the memory size<br />

and the latency low, we have implemented a dedicated<br />

memory management. To keep the memory at a minimum,<br />

the memory works bitwise, so if the resolution is chosen to<br />

have a width of 5 Bit, we only need 5 Bit of memory, the<br />

data of the following channel is written to the adjacent<br />

memory address. Furthermore the memory size and the<br />

latency is reduced by taking the order of the incoming<br />

channels into account. All eight RHAs are running<br />

synchronous and each delivers a sequence of 16 channels in<br />

a serial order. Each RHA has a dedicated memory<br />

(implemented as a circular buffer with read and write<br />

pointers) to handle the eight serial data streams<br />

simultaneously (shown in Fig. 4). The required memory size<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

depends on the bitrate ratio (measurement rate vs.<br />

transmission rate) and on the delay between the start of a<br />

measurement burst and the start of the transmission. Instead<br />

of transmitting the data from one RHA after another, the<br />

128 Channels are interleaved in a way that the channels are<br />

transmitted in the same order the measurement data comes<br />

in. One major challenge of this interleaving is the fact that<br />

the order is not fixed, but depends on the channels the user<br />

actually has selected for the running measurement. For this<br />

reason the Protocol Builder has an extra program memory<br />

called “channel stack”, which is written by the Controller<br />

every time the user defines a new channel mask.<br />

Fig. 5 shows two serial input lines of the Protocol Builder<br />

(coming from the Measurement Interface). The signal<br />

sdatabus_out[0] contains four blocks with nine bit of data,<br />

which is the measurement data according to the activation<br />

bits of the channel mask with the index 0,1,14 and 15. The<br />

signal sdatabus_out[7] contains 16 blocks, according to the<br />

channel mask bits 112-127. Bufferlevel0 and Bufferlevel1<br />

show, how the memory of the data lines is filled. The point<br />

where pstate gets the value three, the read sequence of the<br />

buffers is started and the measurement data is handed over<br />

as a compressed block to the transceiver interface. The<br />

signal rha_select (Fig. 5) shows (while pstate equals “3”),<br />

how the different memories (shown in Fig. 4) are read out in<br />

the following order: RHA0, RHA7, RHA0, RHA7 for a<br />

longer time and again RHA0, RHA7, RHA0, RHA7. The<br />

example shows how the distributed measurement data in the<br />

incoming data streams (mea_mux_ch 0-15) is packed into a<br />

relatively short sequence in the s_data signal (in the<br />

duration where pstate equals 3).<br />

The packed data can be decoded to the correct channels<br />

by interpreting the channel mask, which is part of the<br />

header.<br />

Controller: After power on, the system waits in a standby<br />

state for commands. The Transceiver Interface uses an 8-Bit<br />

parallel port for delivering commands and parameters to the<br />

Controller. The Controller writes the parameters of the<br />

Fig. 4. Protocol Builder.<br />

203


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

FPGA<br />

(XC3S50AN)<br />

Connector for RF-<br />

Transceiver<br />

LED-Array<br />

Fig. 5. Data path from the analog frontend to the transceiver for one<br />

sample interval. In this example, the resolution was set to 9 Bit and the<br />

channel mask is set to FFFF000000000000000000000000C003, which<br />

means, that four channels of the first, and 16 channels of the last RHA<br />

are transmitted while the remaining RHAs 1-6 are ignored [5].<br />

commands into the according registers. In case of a new<br />

channel mask, the measurement gets interrupted, and the<br />

channel stack of the Protocol Builder is reprogrammed.<br />

After the “measurement on” command, the Controller<br />

checks if the transceiver is initialized and enables the<br />

measurement sequence.<br />

Register Bank: The registers store the values of the<br />

command parameters which are also included in the<br />

measurement data frame (Fig. 6): Channel_Mask[127:0],<br />

RHA_Filter[3:0], Sample_Rate[7:0] and Resolution[3:0].<br />

IV. RESULTS<br />

A. FPGA Prototype<br />

The FPGA prototype will serve as a development<br />

platform on the way to higher integration. It allows us to<br />

develop the software and test the compatibility with the<br />

latest version of our digital system. Fig. 7 shows the FPGA<br />

prototype without the transceiver board, which is normally<br />

placed on top of the stack. The prototype provides the<br />

smallest device of the Spartan3AN series, some simple<br />

debug capability (pinheader and LEDs), a power supply (for<br />

battery operation), sockets for the RHA modules, the<br />

external filter components and connectors for attaching up<br />

to 128 electrodes plus reference electrodes.<br />

The prototype has a size of 5x5 cm² and a height of 3 cm.<br />

The power consumption for the prototype with one<br />

connected RHA is about 120 mW. The dynamic loss of the<br />

digital core is below 200µW, which is the difference in<br />

overall power consumption between a running measurement<br />

and the digital core held in the reset state. The design<br />

utilizes 363 Flip-flops and 693 LUTs.<br />

Power supply<br />

& crystal unit<br />

Slots for<br />

additional<br />

RHAs<br />

Fig. 7. FPGA prototype for functional verification.<br />

RHA2216<br />

assembled on<br />

socket<br />

B. Test System<br />

For testing the prototype we use a National Instruments<br />

PXI System with a FlexRIO card. This allows us to reuse<br />

modules from the Simulation Testbench, which can be<br />

implemented on the FPGAs of the FlexRIO card. The User<br />

Interface (Fig. 8) was programmed using LabView and<br />

allows to control all available functions of the system. Fig. 9<br />

shows some qualitative measurement results from a sine<br />

stimulus.<br />

V. CONCLUSION<br />

The programmable neuro frontend presented in this paper<br />

covers nearly every conceivable application in neural<br />

engineering or neural prostheses. Due to the high degree in<br />

flexibility, one can easily shrink or expand the system<br />

performance in order to fit the functional constraints defined<br />

by the desired application.<br />

Even if the constraints are partly unknown or unspecified,<br />

one can use our system to evaluate and to determine the<br />

needed performance in order to meet the objective target.<br />

Fig. 6. Measurement Data Frame. Fig. 8. LabView Software for Controlling the Prototype [6].<br />

204


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

especially fully implantable systems have a rather rigid<br />

design in terms of system architecture and therefore<br />

functionality. Actually the presented digital system tries to<br />

combine key advantages of the measurement systems listed<br />

in the first chapter: our digital system incorporates the<br />

flexibility of the Hermes-system, the aim of a fully<br />

implantation similar to the NRM - but without the cranial<br />

unit and therefore without the percranial cable - and the<br />

high degree of integration similar to the INI-chip, at least<br />

Fig. 9. Waveform view of recorded sine waves from the prototype [6]. for the digital system planned to included in an ASIC.<br />

After the target is met, the system still keeps its flexibility<br />

for future applications without any modifications necessary.<br />

If for some reasons a rigid system in terms of performance<br />

is needed, it is also possible to adapt the system on<br />

hardware base with a relative low effort, since all<br />

performance parameters are already known from the<br />

evaluation process.<br />

Due to the serial data handling and the fact that undesired<br />

measurement data and a lot of protocol overhead is removed<br />

in an early stage regarding signal propagation, the system<br />

has an increased performance with a low hardware<br />

complexity and therefore a reduced power demand.<br />

The flexibility of the system allows the user to fill the<br />

limited transceiver bandwidth with the best fitting product<br />

of resolution, number of channels and sample frequency,<br />

with respect to the particular application.<br />

A. Future Work<br />

Future work will concentrate on the ongoing increase in<br />

integration of all electrical components in order to achieve<br />

the goal of a fully implantable neural measurement micro<br />

system.<br />

The final goal is a single chip solution incorporating the<br />

whole signal path starting from the passive electrode/needle<br />

ending at the RF-Transceiver interface. To satisfy the<br />

demand in higher numbers of electrodes and thereby an<br />

increase in neural data, one has to think about sophisticated<br />

ways of data reduction without losing any neural<br />

information. A reduction in data rate through data<br />

compression also reduces the power consumption of the<br />

measurement system.<br />

Besides the flexibility in performance, it is also desirable<br />

to have a certain degree of redundancy if some parts of the<br />

system are malfunctioning. This redundancy has a direct<br />

influence on the system reliability, which is crucial for a<br />

non-removable fully implantable medical device. So the<br />

“perfect” system consists of several measurement units,<br />

each totally autonomous in terms of power supply and data<br />

link, carrying all the flexibility described in this paper.<br />

In this manner one gets a measurement system where<br />

each electrode is connected to at least two-subsystems, so<br />

there is a fairly high chance that each electrode is at least<br />

represented once in the overall system, able to propagate its<br />

neural data through the neural measurement system.<br />

B. Compared to Other Work<br />

Compared to other work, the work presented in this<br />

paper has a significant degree of flexibility. Other systems,<br />

ACKNOWLEDGMENT<br />

The authors would like to thank the German Federal<br />

Ministry of Education and Research (BMBF) for<br />

subsidizing this work within the KALOMED-project. Also<br />

the authors would like to thank Mr. Opel for his valuable<br />

support in technical implementation of the FPGA-based<br />

prototype.<br />

REFERENCES<br />

[1] Y.-K. Song, D. A. Borton, S. Park, W. R. Patterson, C. W. Bull, F.<br />

Laiwalla et al, “Active Microelectronic Neurosensor Arrays for<br />

Implantable Brain Communication Interfaces,” in IEEE Trans. on<br />

Neural Systems and Rehabilitation Engineering, vol. 17, no. 4,<br />

August 2009, pp. 339-345.<br />

[2] Henrique Miranda, Vikash Gilja, Cindy A. Chestek, Krishna V.<br />

Shenoy and Teresa H. Meng, “HermesD: A High-Rate Long-<br />

Range Wireless Transmission System for Simultaneous<br />

Multichannel Neural Recording Applications,” in IEEE Trans. on<br />

Biomedical Circuits and Systems, vol. 4, no. 3, June 2010, pp. 181-<br />

191.<br />

[3] Reid R. Harrison, Ryan J. Kier, Cynthia A. Chestek, Vikash Gilja,<br />

Stephen Ryu, Bradley Greger et al, “Wireless Neural Recording<br />

with Single Low-Power Integrated Circuit,” in IEEE Trans. on<br />

Neural Systems and Rehabilitation Engineering, vol. 17, no. 4,<br />

August 2009, pp. 322-329.<br />

[4] “RHA2116 – Fully Intergated 16-Channel Biopotential Amplifier<br />

Array”, intan Technologies, LLC, Datasheet, 19 May 2010.<br />

[5] Generated with the assistance of cadence-SimVision ©.<br />

[6] Generated with the assistance of LabView 2010 from National<br />

Instruments©.<br />

Contact: Jonas Pistor, Institute of Electrodynamics and<br />

Microelectronics (ITEM.me) University of Bremen, Otto-<br />

Hahn-Allee, NW1, 28359, Bremen, Germany, +49 421 218-<br />

62539 Email: pistor@me.uni-bremen.de<br />

205


11-13 May, Aix-en-Provence, France<br />

<br />

PANEL DISCUSSION<br />

HERMETICITY TESTS IN MEMS<br />

Marc DESMULLIEZ, Heriot-Watt University<br />

Suzanne COSTELLO, MCS Ltd.<br />

Wolgang REINERT, Fraunhofer Institute for Silicon Technology<br />

Steven MARTELL, Sonoscan Inc.<br />

206


11-13 May, Aix-en-Provence, France<br />

<br />

Hermeticity Test Methods for MEMS:<br />

Where are we?<br />

Marc Desmulliez<br />

MIcroSystems Engineering Centre, School of Engineering and Physical Sciences, Heriot-Watt University,<br />

Edinburgh, EH14 4AS, Scotland, UK.<br />

E: m.desmulliez@hw.ac.uk T: +44 (0) 131 451 3340<br />

ABSTRACT:<br />

This short presentation will set the scene of the agenda of this panel and indicates current commercial methods and<br />

R&D efforts in the field of hermeticity tests for low cavity volume packages such as those encountered in MEMS.<br />

Limitations of the military standards MIL-STD-883H and MIL-STD-750E will be briefly explained and guidelines to<br />

use existing the He fine leak test method will be provided.<br />

BIOGRAPHY:<br />

Marc Desmulliez is currently the founder-director of the Microsystems Engineering Centre (MISEC) at Heriot-Watt<br />

University, which is the 4 th largest academic MEMS research group in the UK. He is a physicist/electrical engineer<br />

by educational and professional background and has authored over 280 papers in the fields of MEMS,<br />

optoelectronics and advanced manufacturing techniques. He span out the Company MicroStencil Ltd in 2003 which<br />

is now operating as a partnership with DEK in Singapore.<br />

207


11-13 May, Aix-en-Provence, France<br />

<br />

Standards for Hermeticity Test Methods<br />

Suzanne Costello<br />

MIcroSystems Engineering Centre, School of Engineering and Physical Sciences,<br />

Heriot-Watt University, Edinburgh, EH14 4AS, Scotland, UK.<br />

E: S.Costello@hw.ac.uk T: +44 (0) 131 451 3774<br />

ABSTRACT:<br />

Traditional hermeticity test methods and the standards used to ensure correct usage of these test methods have been<br />

shown to have limitations when applied to low cavity volume MEMS packages. Typical MEMS cavity volumes are<br />

well below the minimum volumes stated in the military standards leading to inaccuracies when the traditional<br />

hermeticity tests are carried out on such packages. Ultra low vacuum packaging is required for many MEMS<br />

applications, reducing the maximum acceptable leak rate of these packages below the measurable range of most test<br />

methods given in the military standards. New packaging materials used in MEMS manufacturing have limited the use<br />

of standard tests since new leak sources are apparent which the traditional test methods were not designed to measure<br />

and so are not considered in the military standards.<br />

SEMI MS8-0309 - GUIDE TO EVALUATING HERMETICITY OF MEMS PACKAGES was written to inform and<br />

guide users on the best way to quantify leaks which may adversely affect the performance of MEMS devices. Two<br />

further test standards are currently being written to provide further information and guidelines to quantifying<br />

permeation leaks and outgassing. The first of these standards, “SEMI Standards: Fluid Permeation through MEMS<br />

Packaging Materials”, will be discussed.<br />

BIOGRAPHY:<br />

Suzanne Costello graduated from Heriot-Watt University in Edinburgh in 2004 after receiving a masters degree in<br />

physics. She is currently working towards an engineering doctorate in microsystems engineering. Her sponsoring<br />

company is materials and failure analysis specialists, MCS Limited. Her research has been based on hermeticity<br />

testing of MEMS and low cavity volume microelectronic packages. She has published several papers in this field<br />

which have shown the theoretical limitations of the traditional hermeticity test methods when applied to today’s<br />

packages and the advantages of in situ test structures for assessing leak rates of low volume packages. Suzanne has<br />

also been involved in the task force working towards creating a new standard to assess fluid permeation through<br />

MEMS packaging materials.<br />

208


11-13 May, Aix-en-Provence, France<br />

<br />

Q-factor monitoring as a 100% leak screen in<br />

industrial applications<br />

Wolfgang Reinert<br />

Fraunhofer Institute for Silicon Technology,<br />

Fraunhofer Str. 1<br />

D-25524 Itzehoe, Germany<br />

E: wolfgang.reinert@isit.fraunhofer.de T: +49 (0) 4821 17 4617<br />

ABSTRACT:<br />

Neon ultra fine leak testing enables a fast screen of the initial leak rate of hermetically sealed MEMS microresonating<br />

devices. The method is based on monitoring the Q-factor of resonating structures before and after a defined Neon gas<br />

bombing.<br />

The presentation will highlight the main characteristics of this method and the different modifications of the<br />

procedure that may be used in high volume production to save process time. Limitations and instabilities of the<br />

electronic Q factor measurement will be discussed to provide a better understanding and avoid false data<br />

interpretation. Last the role of H 2 O as a possible test gas for nano-leaks will be shortly explained.<br />

BIOGRAPHY:<br />

Dr.-Ing. Wolfgang Reinert studied Physics at the University of Bonn (Germany) . After a post-doctoral scholarship at<br />

the Technical University of Trondheim (Norway), he spent six years, as a scientist at the Centre for Microjoining<br />

Technology (CEM) in Germany. For the last 11 years, he is the group manager of „Advanced Packaging“ at the<br />

Fraunhofer ISIT.<br />

His research interests include: the construction of cap wafers for IR and interial sensors, the hermetic packaging of<br />

MEMS based on metallic sealing, the development of avanced getter and the development of pilot lines for MEMS<br />

module assembly.<br />

Dr. Reinert is the inventor of 46 patents or patent applications and has contributed to 3 technical books. He has<br />

authored numerous technical papers in the field of packaging.<br />

209


11-13 May, Aix-en-Provence, France<br />

<br />

Evaluating the Seal Integrity of MEMS<br />

Hermetic Packages<br />

ABSTRACT:<br />

Steven R. Martell<br />

Technical Support Services Manager<br />

Sonoscan, Inc. , 2149 E. Pratt Blvd.<br />

Elk Grove Village, IL 60007<br />

T: 847-437-6400<br />

For many years Acoustic Microscopy (AM) techniques have been utilized to evaluate the quality of the bond between<br />

materials, especially for microelectronic devices. AM has been established as one of the few techniques within SEMI<br />

MS8-0309- GUIDE TO EVALUATING HERMETICITY OF MEMS PACKAGES that can provide reliability and<br />

quality control data, but little has been done to determine the minimum seal width required to ensure long term<br />

hermeticity.<br />

AM methods of non-destructive analysis incorporate techniques that provide data on how well a lid is bonded to the<br />

cavity package, the actual width and thickness of the seal material, plus any voids embedded within it. What is not<br />

known at this time is the minimum acceptable seal width/path required based on the permeability of the various seal<br />

materials.<br />

BIOGRAPHY:<br />

Steven R. Martell is the manager of technical support services at Sonoscan, allowing him to work with companies and<br />

standards organizations on an international basis. He has a B.S. in Mechanical/Ocean Engineering from University<br />

of Rhode Island.<br />

He is the current chairman of IPC's B-10a - Plastic Chip Carrier Cracking Task Group. He has received<br />

"Outstanding Performance", "Distinguished Committee Service" and "Special Recognition" awards for his leadership<br />

of the joint IPC/JEDEC working group that developed J-STD-020, J-STD-033, J-STD-075, etc. He is now working in<br />

cooperation with IPC and JEDEC, to coordinate these standards with other international standards organizations,<br />

such as EIAJ and IEC. He is also a contributing member to the SEMI MEMS and Solar standards committees, plus<br />

the chairman of the MEMS terms task group within SEMI. In addition, he was the main author of the revised Method<br />

2030 for Die Attach Evaluation within MIL-STD-883 through GEIA G12 standards committee. He is the author of<br />

over thirty papers and technical publications.<br />

Sonoscan, Inc., Corporate Headquarters: 2149 East Pratt Blvd ● Elk Grove Village, IL 60007 ● T: 847.437.6400 ●<br />

F: 847.437.1550 ● www.sonoscan.com Silicon Valley, CA ● Phoenix, AZ ● Boston, MA ● England ● Philippines ●<br />

Singapore ● Shanghai<br />

210


11-13 May 2011, Aix-en-Provence, France<br />

<br />

PANEL DISCUSSION<br />

HIGH ADDED VALUE MEMS<br />

Jérémie BOUCHAUD, Director - Principal Analyst MEMS & Sensors, IHS iSuppli, Munich, Germany<br />

Jean Michel KARAM, Chairman & CEO, MEMSCAP, Bernin, France<br />

Sean NEYLON, CEO, Colibrys, Neuchâtel, Switzerland<br />

Thierry BRISARD, CEO, NEOSENS, Toulouse, France<br />

ABSTRACT:<br />

High-value MEMS as sensors and actuators for applications that are outside the high-volume consumer<br />

electronics and automotive volume markets, addressing the industrial, medical, energy, optical telecom and<br />

aerospace-defense market segments.<br />

According to iSuppli's five-year forecast, high-value MEMS revenue will hit $2.6 billion in 2014. This rapid<br />

growth is being driven by the value proposition brought by the MEMS devices to many systems and<br />

applications.<br />

The panel will start by an overview of the high added value MEMS market, followed by several case studies<br />

from active players in this market.<br />

211


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Integrated Sensing Systems for Health and Safety<br />

Kiyoshi Itao<br />

Tokyo University of Science<br />

2-6 Kagurazaka, Shinjuku-ku<br />

Tokyo, 162-0825, Japan<br />

Toshihiro Ito<br />

Advanced Industrial Science and Technology<br />

1-2-1 Namiki, Tsukuba,<br />

Ibaraki, 305-8564, Japan<br />

Abstract- Information and communication services have been<br />

developed from the first generation of fixed phone era to the<br />

second generation of cellular phone era, and eventually the<br />

third generation will be developed as the era of keyboard-less<br />

and wireless sensor terminals. Recently, with the technology<br />

weaving of microsensors, wearable computers and wireless<br />

systems, various micro sensing systems have been developed. In<br />

the near future, with more progress in nanotechnologies,<br />

flexible sensors, flexible power supplies, flexible computers and<br />

flexible displays will be developed, and every terminal will<br />

become wearable. From this viewpoint, we would like to explain<br />

the novel technologies and services in the third generation<br />

network. In details, we introduce Human Recorder System<br />

development in our NPO-WIN (Wearable Information<br />

Network http://www.npowin.org/j/)<br />

I. INTRODUCTION<br />

With the recent technology weaving of microsensors,<br />

wearable computers and wireless systems, various<br />

microsensing systems have been developed. The<br />

nanotechnologies will lead to the rapid progress of flexible<br />

sensors, flexible power supplies, flexible computers and<br />

flexible displays. Every terminal will become wearable in the<br />

near future, and textile in the future on the basis of<br />

nanotechnologies as shown Fig. 1.<br />

The nature interfacer is core device of such a new sensor<br />

communication system that can capture various kinds of<br />

information using microsensors, process data recognition by<br />

one clip computers, and transmit information by wireless<br />

technologies. The key technology here is the software to<br />

automatically decide whether to stay active or to become<br />

sleep to save energy, as well as the relevant hardware<br />

technologies. The smaller these nature interfacers become,<br />

the more their application range will be expanded, for<br />

example from an airplane to a small bird.<br />

In this paper, we will present three fundamental ideas,<br />

firstly the concept of nature interface, secondly wearable<br />

information technologies, and thirdly examples of typical<br />

applications, such as Human Recorder System by NPO-WIN<br />

(Wearable Information Network http://www.npowin.org ).<br />

In conclusion, we will discuss future information<br />

communication systems utilizing human vital information.<br />

II. AIMING AT THE HARMONY BASED ON<br />

SENCER INFORMATION COMMUNICATION<br />

The present telecommunication network system is of<br />

human-centered, as shown in Fig.2. The nature system is<br />

completely separated from the artifacts system. Only a small<br />

portion of the huge nature (real world) information is<br />

incorporated info this system because of poor sensing<br />

technology.<br />

In contrast, what we have advocated is a new<br />

telecommunication system called “sensor communication<br />

system,” which could make it possible to gather a huge<br />

amount of information from nature, including both animals<br />

and plants, as well as information from artifacts (Fig.3). It<br />

would be an information communication system with a thick<br />

information input pipe, and various sensor groups. It could<br />

be also the system that can monitor the state of nature and<br />

artifacts concisely and widely. Such technologies could<br />

become the foundation for the progress of science to general<br />

and transdisciplinary science.<br />

Fig. 1 Mt. Fuji of Technology<br />

212


11-13 May 2011, Aix-en-Provence, France<br />

<br />

transmission of results to a computer which could be<br />

connected via communication networks.<br />

Fig.2. Second generation network based on cellular phone<br />

Fig.3. Third generation network based on sensing terminals<br />

Fig.4. Wearable Computing toward Nature Interface<br />

III.<br />

WEARABLE COMPUTING TOWARD<br />

NATURE INTERFACE<br />

TABLE I<br />

From mobile communication to sensor communication<br />

The volume and weight of information communication<br />

devices should ideally be minimized to zero as the ultimate<br />

target. Micro system technologies are being developed from<br />

various fields of study, and the efforts continue proceeding.<br />

While computer development continues following the<br />

traditional path of human-operated machines, we are also<br />

facing the development of a “pervasive computer”, where<br />

computers run without human operators. Furthermore, the<br />

Internet has evolved to version 6 (IP. Ver. 6), and the time<br />

has come when all the devices on the earth could be<br />

connected to a network and identified uniquely. Moreover<br />

,the device for short-distance radio communications has been<br />

miniaturized to one chip. The technology that develops the<br />

interfaces among nature, human beings, and artifacts is<br />

achieved by information microsystem technology with the<br />

following two trends: technology of miniaturization, and<br />

pervasive computers. Wild animals, human beings, and<br />

mobile artifacts could be equipped with Nature Interfacers,<br />

which constantly monitor and evaluate sensor information<br />

input, process the monitoring information to recognize the<br />

state of objects, and perform control or diagnosis by wireless<br />

technologies. Nature Interfacers like this have been<br />

developed so far (Fig.4).<br />

The forms of computer terminals are classified as shown in<br />

Table 1. Basically, human beings operate conventional<br />

computers by giving instructions as digital inputs through<br />

keyboards. Computer downsizing has been accompanied by<br />

rapid advances in LSI technologies and micro-machine<br />

technologies, as well as revolutionary advances in the<br />

personalization and mobility of information. And, finally, the<br />

technologies have evolved to allow wearable computers.<br />

Furthermore, computer automation has been developed<br />

based on the following technologies: detection of analog<br />

information into digital quantity, further recognition of this<br />

information based on knowledge (the database), and<br />

IV. WEARABLE INFORMATION NETWORK USING<br />

SENSORS TERMINAL<br />

With the technology explained above, we should develop a<br />

terminal suitable for sensor communication. It should be<br />

equipped not only by human beings but also by animals, or<br />

artifacts, and should serve as a key device in detecting nature<br />

information, including health monitoring information,<br />

position of an animal or degradation of artifacts,<br />

environmental information, etc. This is the micro<br />

information terminal that I have proposed as the “Nature<br />

Interfacer”(Fig. 5)<br />

The Nature Interfacer is the device that captures various<br />

kinds of information using microsensors, processes data<br />

recognition by one clip computers, and transmits information<br />

by wireless technologies. The key technology here is the<br />

software to automatically decide whether to stay active or to<br />

become sleep to save energy, as well as the relevant<br />

hardware (mechatronics) technologies. The smaller these<br />

nature interfacers become, the more their application range<br />

will be expanded, for example from an airplane to a small<br />

213


ird (Fig. 6)<br />

The computer communication society will advance<br />

continuously due to the development of related technologies.<br />

Those technologies, however, should not be applied to tools<br />

only for people's pleasure and convenience. Instead, we<br />

should apply such advanced technologies to our<br />

environment, and should take urgent measures to prevent<br />

environmental destruction in the 21st century. By fusion of<br />

the Internet and cellular phone technology, the world of the<br />

Nature Interfacer will be realized in the near future. Fig. 7<br />

shows a conceptual figure.<br />

Fig. 5. Nature Interfacer<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

V. HUMAN RECORDER SYSTEM DEVELOPMENT<br />

FOR SENSING THE AUTONOMIC NERVOUS SYSTEM<br />

An airplane is equipped with a flight recorder, a ship with a<br />

voyage recorder, and recently drive recorder is being<br />

installed in cars. Sure, human also needs recording<br />

equipment for his vital data then. I named this "Human<br />

recorder". We defined “Human Recorder” as a wearable<br />

device that constantly detects and records vital signs 24<br />

hours, 365 days.<br />

Fig. 8 shows an image of health monitoring system<br />

enabled by the Human Recorder System. A micro energy<br />

powered chipped sensor composed with an<br />

electrocardiograph (ECG), an electroencephalograph (EEG),<br />

a thermometer for skin temperature, and an tri-axis<br />

accelerometer, sends data to a smartphone by wireless using<br />

weak radio signal. The smartphone linked to the Internet<br />

through seamless communication network will forward<br />

periodically stored data to a computer (memory storage) unit<br />

on which data display and analysis software is provided.<br />

In the technological progress of recent microdevices, we<br />

could achieve the development of the first stage of Human<br />

Recorder (Fig. 9). The main microdevice is miniature and<br />

lightweight electrocardiograph, 40×35mm in size, 7mm in<br />

thickness, and 11g in weight, (smallest, lightest in the world),<br />

combined with a tri-axis acceleration sensor, a thermometer<br />

for skin temperature, battery and wireless data transmission<br />

chip.<br />

Animals<br />

Artifact<br />

Sensor<br />

Watch-type computer<br />

Information (position<br />

physiology,chemicals)<br />

Micro generator<br />

Fig.8 Composition of Human Recorder system<br />

To network<br />

Fig. 6. Application of Nature Interfacer<br />

Wireless transmitter<br />

Fig.9 The first stage of Human Recorder:<br />

smallest and lightest ECG device in the world<br />

Fig. 7. Positioning of Nature Inter-facer in the third generation network<br />

This new device enables, noninvasive, precise, real-time<br />

detection and collection of ECG, which is the key for human<br />

autonomic nervous system detailed analysis, by the<br />

following process.<br />

• Human Recorder is attached to the chest. (user does<br />

not feel any discomfort with the device)<br />

• User can spend time as usual.<br />

• ECG can be measured continuously and recorded<br />

into a smartphone for about 120 hours.<br />

• The heart beat cycle is extracted from the<br />

214


electrocardiogram.<br />

• The heart beat cycle time variation computation<br />

• The heart beat cycle fluctuation frequential analysis<br />

Typical result of ECG heart beat cycle frequential<br />

analysis is presented on , where strength of frequency zone<br />

“L” reflects sympathetic nerve’s activity, and strength of<br />

frequency zone “H” reflects parasympathetic nerve’s activity<br />

(Fig. 10). Then, L/H ratio is an indicator of activity dominant<br />

nervous system (large: sympathetic nerve is dominant, small:<br />

parasympathetic nerve is dominant).<br />

Fig.10 Image of heart beat cycle fluctuation frequential analysis<br />

VI. FUTURE HEALTHCARE SYSTEM CONSIDERATION<br />

It has been recognized to be able to evaluate sleeping with<br />

not only brain wave but also HRV spectral analysis with data<br />

of Human recorder in case 1. The parasympathetic nervous<br />

system becomes dominant while sleeping and the<br />

sympathetic nervous system becomes chiefly dominant<br />

while awaking. Therefore, the circadian rhythm of the<br />

sleeping-awaking can be observed by analyzing the heart<br />

beat change. That is, it was regarded that the distinction<br />

between REM sleeping and non REM sleeping and<br />

evaluation of quality while sleeping was possible, too. It<br />

means “excellent sleep” by considering of the sleeping<br />

rhythm in this case. Additionally, it is going to be also<br />

possible to observe the change of a physiologic index that<br />

influences the autonomic nervous system such as<br />

perspiration, physical change, and body temperature by<br />

HRV spectral analysis.<br />

In case 2, under the high stress level, the sympathetic<br />

nerve becomes dominant. To the contrary, the<br />

parasympathetic nerve activity becomes dominant with<br />

comical video screening, and during acupunctural treatment.<br />

It has been recognized that a voluntary laugh, when comical<br />

images are selected by oneself, has influence on autonomic<br />

nervous system reaction. In this study, it would not be<br />

possible to confirm the hypothesis that combining<br />

acupuncture and laugh by comical video has an effect on<br />

relaxation. Moreover, the change of autonomic nervous<br />

system has been captured at various time span. It is useful<br />

and needed to evaluate autonomic nervous system as a<br />

simple tool to clarify the physiology mechanism.<br />

Former studies reported that HRV spectral analysis was<br />

effective to evaluate the physical and mental loads by<br />

quantifying respectively the activity level of sympathetic<br />

and parasympathetic nerves [2,3]. However, HRV spectral<br />

analysis index is known to be different according to the age,<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

sex and the individual variation. Not only the examination of<br />

measurement condition, H and L/H, but also the<br />

examinations expressing the bio-rhythm, such as "1/f<br />

fluctuation" might be necessary to improve the accuracy of<br />

autonomic nervous system evaluation in future research.<br />

From the results of this study, we propose a Human<br />

Recorder System using ECG device and adapted<br />

visualization software as an effective tool for stress<br />

management.<br />

In our aging society, we are sure that a lot of elderly<br />

people hope they could have good information on their own<br />

vital (physical and mental) conditions and, if necessary, they<br />

could be cared and cured timely with minimum of helping<br />

power. We’ve been now challenging to build such a sensor<br />

networking society in the near future. Fig. 11 shows an ECG<br />

transmitter, which is expected as a micro-bio telemetry.<br />

As the application of ECG data analysis was examined in<br />

this study, in the future the Human Recorder system could be<br />

composed also with some functional sensors such as EEG,<br />

and the software for processing and display could be adapted<br />

according to various needs. The Human Recorder system,<br />

combined with a set of warning functions such as sound<br />

alarm, vibrations will be useful in a lot of application in the<br />

healthcare field.<br />

The evidence of human being’s vital information is<br />

potentially too rich and sensitive to be measured by Human<br />

recorder. Human being’s vital information remains<br />

undeveloped so far, and we are now beginning to recognize a<br />

human being as a sensor itself united with mind and body.<br />

The evidence of human being’s vital information is<br />

potentially too rich and sensitive to be measured by digital<br />

devices.<br />

Fig.11 Micro-bio telemetry system using Human Recorder<br />

VII. FUTURE INFORMATION COMMUNICATION SYSTEMS<br />

It We envision that Future Information Communication<br />

Systems are going toward the building of a new generation<br />

of sensor networking society by firstly checking up human<br />

vital information as well as knowledge information using<br />

sensing technologies and terminals.<br />

Let me describe further the feature of such a society by<br />

using the analogy of the past communication by physical<br />

mail vs. telephone: our past communication way used to be<br />

mainly a letter, which implies his or her own character and<br />

mindset. Hand writing letter takes days and hours to be<br />

delivered by a postman to the addressee, But I believe such a<br />

215


letter communication way is meaningful and will remain.<br />

Since then information communication has been mainly<br />

shifted from letter to telephone, from telephone to keyboard,<br />

from analog to digital.<br />

However, in the society of rapid progress in science and<br />

technology, I’m afraid that we have been facing the fact that<br />

we may not be able to communicate with others<br />

satisfactorily and properly by using a portable mailing<br />

equipment. It’s difficult for modern communication to<br />

convey human being’s vital information such as why your<br />

heart is so beating today, or your face is blushing when you<br />

send e-mail to your boy friend.<br />

Differently speaking, that is evidence of human being’s<br />

vital information is potentially too rich and sensitive to be<br />

measured by digital devices. Human being’s vital<br />

information remains undeveloped so far, and we are now<br />

beginning to recognize a human being as a sensor itself<br />

united with mind and body.<br />

I envision the future information communication way as<br />

follows; Apart from inputting your vital information into the<br />

keyboard by the help of manpower, all you need is to put a<br />

small sensing –chip on your chest. Thus it can read your<br />

potential vital information and automatically send it to other<br />

people by way of wearable computer. As the next step, I’m<br />

planning to build a sensor-networking world that can weave<br />

every vital information sent by each wearable computer. In<br />

our aging society, I’m sure that a lot of elderly people hope<br />

they could have good information on their own vital<br />

(physical and mental) conditions and, if necessary, they<br />

could be cared and cured timely with minimum of helping<br />

power.<br />

We’ve been now challenging to build such a sensor<br />

networking society in the near future.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

[4] H.Kubota,“Collective Theory of Vital Signs” -To promote<br />

life-saving medicine-, Sinko Trading Co., LTD., Tokyo,<br />

Japan,pp.8,40,83-90,113-115.2006.<br />

[5] Kiyoshi Itao, “Wearable sensor network connecting artifacts, nature,<br />

and human being,” KEYNOTE PRESENTATION, in Proc. of the<br />

Sixth IEEE SENSORS 2007 Conference, Atlanta, pp. 1120–1123,<br />

2007<br />

[6] Kiyoshi Itao, “Human Recorder System Development for Sensing<br />

the Autonomic Nervous System,” in Proc. of the Seventh IEEE<br />

SENSORS 2008 Conference, Lecce, pp. 423–426, 2008<br />

VIII. CONCULUSION<br />

All creations send out information. Up to the current<br />

information technology and communication service stage,<br />

however, all information is not yet received nor<br />

communicated well.<br />

In this paper, we propose the formation of society where<br />

all information is received and communicated well.<br />

Going up to the next stage from where we are now, I<br />

believe that “wearable technology”, “sensing technology”,<br />

and “sensor communication” could be the key. And we,<br />

human beings, will play a crucial role in what I call “sensor<br />

network.” As the development of wearable technology and<br />

wearable devices, we could be an interface to all creations.<br />

That is the world of Nature Interface.<br />

REFERENCES<br />

[1] K.Itao,“Micromechatronics Technology for Wearable Information<br />

and Communication Equipment”, Sens. and<br />

Materials,vol.10,No.6,pp.325-335,1985.<br />

[2] K.Itao,“Next-Generation Information and Communication<br />

Equipment based on Microsystems Technologies”, International<br />

Journal of The Japan Society for<br />

Engineering,vol.31,No3,pp.167-171,Sep.1997.<br />

[3] K.Itao,“Micromechatronics for wearable Information systems”,<br />

Journal of Micromechatronics,vol.1, No.1,pp.5-13,2000.<br />

216


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Design, Fabrication, and Integration of<br />

Piezoelectric MEMS Devices for Applications in<br />

Wireless Sensor Network<br />

Jian Lu*, Yi Zhang, Toshihiro Itoh, Ryutaro Maeda<br />

Research Center for Ubiquitous MEMS and Micro Engineering (UMEMSME),<br />

National Institute of Advanced Industrial Science and Technology (AIST), Namiki 1-2-1, Tsukuba, Ibaraki, 305-8564, Japan<br />

Abstract- One of the competitive solutions to expand the<br />

function of microelectromechanical system (MEMS) is the<br />

integration of piezoelectric lead zirconate titanate (PZT) thin<br />

films for device self-actuation at low driving voltage, device<br />

self-sensing with low power consumption, as well as for energy<br />

harvesting. However, up-to-date, difficulties still exist not only<br />

in PZT film preparation but also in PZT film integration with<br />

other MEMS components and ICs. This paper therefore<br />

presents our recent progress on large area deposition, fine<br />

pattern etching, and low temperature bonding of PZT thin<br />

films for wafer scale PZT film integration and piezoelectric<br />

MEMS application. The energy dissipation mechanism in<br />

piezoelectric MEMS devices was also discussed to optimize the<br />

device structure for the pursuit of better performance.<br />

Ultra-sensitive micro cantilever and disk resonator with<br />

on-chip piezoelectric PZT transducers were presented herein as<br />

an exploratory application of piezoelectric MEMS devices in<br />

distributed wireless sensor network.<br />

I. BACKGROUND<br />

To deal with population aging, environmental pollution,<br />

global warming, and other modern society problems,<br />

microelectromechanical system (MEMS) is significantly<br />

important because various applications, such as human<br />

healthcare, food safety, environmental monitoring, animal<br />

watching, green manufacturing, mechanical structure<br />

monitoring, and smart living, can be realized by MEMS and<br />

distributed wireless sensor network (WSN) technology with<br />

high sensitivity, low cost, and low power consumption<br />

[1]-[4].<br />

To expand the function of MEMS for applications in<br />

WSN, the integration of various materials or components for<br />

device actuation and sensing is essential. One of the most<br />

competitive materials is the piezoelectric lead zirconate<br />

titanate (Pb(Zr x ,Ti 1-x )O 3 , PZT) thin film because PZT is a<br />

high energy density material which scales very favorably<br />

upon miniaturization [5]. The piezoelectric coefficient d 33<br />

and dielectric constant ɛ of the PZT film was reported as<br />

high as 143 pC/N and 1310 respectively, which is one order<br />

higher than that of zinc oxide (ZnO) film (d 33 =11 pC/N,<br />

ɛ =11) and aluminum nitride (AlN) film (d 33 =3.4 pC/N,<br />

ɛ =10.4). Besides, the well-integrated PZT film on MEMS<br />

devices can be used not only for device self-actuation at low<br />

driving voltage and device self-sensing with low power<br />

consumption, but also can be used for energy harvesting.<br />

However, up-to-date, difficulties still exist in PZT<br />

preparation and PZT integration with other MEMS<br />

components and ICs. It is mostly due to the high temperature<br />

annealing process and the residual stress of the film, as well<br />

as the energy dissipation of the piezoelectric MEMS devices<br />

[6]-[8]. Moreover, the high volume mass production and<br />

commercialization of MEMS have been expected for many<br />

years since IC industry went to the well-developed stage. The<br />

bottlenecks, which discourage MEMS industry to advanced<br />

steps, are the difficulties in integration of MEMS<br />

components with ICs, the yields, and the cost. Especially to<br />

piezoelectric MEMS, the fabrication, integration of the<br />

piezoelectric PZT thin film, and the design, optimization of<br />

the device structure need great efforts not only from the<br />

technical point of view but also through innovative academic<br />

research.<br />

We have engaged in large-area deposition, fine pattern<br />

etching, low temperature bonding of PZT thin films for<br />

MEMS application, and energy dissipation mechanism of the<br />

piezoelectric MEMS devices for the pursuit of better device<br />

performance for many years. This paper thus presents our<br />

recent progress of above work. Moreover, the design,<br />

fabrication and evaluation of ultra-sensitive micro<br />

cantilevers and disk resonators, which has on-chip<br />

PZT-electrode stacks as the transducer, were presented in<br />

this paper as an exploratory application of piezoelectric<br />

MEMS devices in distributed wireless sensor network for<br />

human healthcare, environmental monitoring, and other<br />

applications.<br />

II.<br />

RESULTS AND DISCUSSION<br />

2.1 Large area PZT film deposition by sol-gel process<br />

For PZT preparation in large area, residual stress<br />

frequently leads to wafer-warpage, PZT film delaminating,<br />

217


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig. 1 AES results indicate the inter-diffusion between different layers along<br />

depth (sputter time) of the PZT/Pt/Ti/SiO 2/Si wafer.<br />

Fig. 2 The balance layer not only enables large wafer scale thick crack-free<br />

PZT preparation but also reduces wafer-warpage.<br />

or PZT film cracking. Wafer-warpage results in large<br />

miss-alignment between photomask and the wafer in<br />

following photolithography process. PZT delaminating or<br />

cracking places a limitation on film size, thickness, and<br />

likely to deteriorates film properties. It is an intractable<br />

problem for large area integration and mass production of<br />

piezoelectric MEMS devices.<br />

Fig. 1 shows element distribution along the depth of the<br />

PZT/Pt/Ti/SiO 2 /Si wafer measured by Auger Electron<br />

Spectroscopy (AES). The PZT film was prepared by sol-gel<br />

process with the thickness of 1 μm (8 layers, annealed by<br />

RTA at the temperature of 650°C for 3 min after each layer<br />

deposition). Pt/Ti with the thickness of 200/50 nm was used<br />

as bottom electrode of the PZT film. The results in Fig. 1<br />

suggested that the large residual stress is mainly caused by<br />

the diffusion of Ti into Pt in Pt/Ti bottom electrode and the<br />

migration of Pb from PZT into substrate during PZT high<br />

temperature annealing process.<br />

Our results also indicated that another reason for PZT<br />

delaminating or cracking is the thermal stress due to thermal<br />

expansion coefficient mismatch between PZT, Pt/Ti bottom<br />

electrode, and SiO 2 buffer layer (thermal expansion<br />

coefficient of PZT: 4.03×10 -6 /K; thermal expansion<br />

coefficient of Pt: 14.2×10 -6 /K; thermal expansion coefficient<br />

of SiO 2 : 0.4×10 -6 /K). Therefore, we successfully prepared<br />

high quality crack-free piezoelectric film in large area by<br />

sol-gel process. In this process, another Pt/Ti film, which was<br />

deposited on backside of the wafer by the same process as<br />

Pt/Ti bottom electrode, was used to balance the residual<br />

stress cause by the inter-diffusion of Ti into Pt in Pt/Ti<br />

bottom electrode and the thermal expansion coefficient<br />

mismatch between Pt/Ti bottom electrode and SiO 2 . The<br />

process details were published elsewhere [9]. As<br />

demonstrated in Fig. 2, wafer-warpage was dramatically<br />

reduced by this approach, which is essential for large area<br />

fabrication of piezoelectric MEMS devices [10].<br />

2.2 PZT fine pattern fabrication by ICP-RIE<br />

Fabrication of the PZT-electrode fine pattern with the<br />

feature size of less than 10 microns is the next essential step<br />

for piezoelectric MEMS application after large area PZT film<br />

deposition. Wet chemical etching is often used as a low-cost<br />

process in MEMS fabrication. However, as reported in<br />

literature, ferroelectric and piezoelectric properties of the<br />

PZT film will be markedly degraded by the diffusion of<br />

hydrogen atoms from HNO 3 /HF etchant into PZT film [11].<br />

Moreover, because of the intrinsic large undercutting defect<br />

of the wet chemical etching process, it is hard to be used<br />

when feature size of the PZT-electrode stack is less than 10<br />

microns, which are expected by most MEMS devices for the<br />

pursuit of high integration density, low cost, and high device<br />

performance.<br />

Fig.3 Etching-rate of PZT, Pt and photoresist (S1830) by ICP-RIE at various<br />

Ar concentrations in Ar/SF 6 mixed gas. The insert shows an obtained<br />

PZT-electrode fine pattern with the feature size of 2 μm.<br />

218


In our work, we proposed an innovated dry process for<br />

PZT-electrode fine pattern fabrication [12]. This process use<br />

conventional ICP-RIE system and Ar/SF 6 mixed gas as the<br />

etchant. AFM images of the PZT film before and after the<br />

dry-etching revealed that the grain-boundary and the shape<br />

of the PZT crystallites were more identifiable when using<br />

lower Ar concentration in Ar/SF 6 mixed etchant. It suggested<br />

that the etching is reactive-physical combined process. As<br />

shown in Fig. 3, the highest PZT etching-rate (58 nm/min)<br />

and the best etching-selectivity (PZT:Pt=1.14) was achieved<br />

at 66.7% of Ar in Ar/SF 6 mixture. We also confirmed that the<br />

remanent polarization and the dielectric constant of the PZT<br />

film were 16.5 μC/cm 2 and 1019 before the etching, 15.5<br />

μC/cm 2 and 1013 after the etching. It demonstrated that the<br />

proposed dry-etching process did not degrade the properties<br />

of the PZT film. As shown in insert of Fig. 3, a<br />

PZT-electrode fine pattern with the feature size of 2 μm was<br />

successfully obtained by this process.<br />

2.3 Low temperature bonding of PZT film<br />

For most of the MEMS and IC devices, process<br />

temperature of more than 400 °C is fatal. However, to obtain<br />

well-crystallized and (100)-oriented PZT film by sol-gel<br />

method, high annealing temperature in the range of 600–750<br />

°C is usually required. Even the transforming temperature of<br />

PZT perovskite-phase (~530 °C) is beyond that of most<br />

MEMS and ICs can withstand. Although adding modifiers<br />

into PZT solution [13], or using seeding layers to enhance<br />

PZT nucleation [14] has been reported effective to reduce the<br />

PZT annealing temperature, their benefits to piezoelectric<br />

MEMS fabrication are limited. Z.Wang et al. proposed the<br />

bonding of bulk PZT with silicon wafer, and then thin down<br />

the PZT to less than 10 μm by using chemical mechanical<br />

polishing [15]. This method is complicated, time-consuming,<br />

and high-cost. Therefore, it is hard to be used for MEMS<br />

mass production.<br />

Ti: 50 nm<br />

Cr: 50 nm<br />

PZT film: 1 μm<br />

Pt/Ti: 200/50 nm<br />

SiO 2 : 2 μm<br />

Si: 500 μm<br />

Si: 400 μm<br />

4-inch<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Au film 100/300 nm<br />

Au film: 300 nm<br />

film low temperature integration on silicon substrate. We use<br />

Au film as the intermediate layer. Fig. 4 shows schematic<br />

view of the sample and its SAM image after bonded at 150<br />

°C. Die-shear test revealed that the bonding strength is ~75<br />

MPa at the bonding pressure of 325 MPa [16]. This<br />

technique effectively avoids the damage of PZT high<br />

temperature annealing to other MEMS components and ICs.<br />

It also enables the fabrication of piezoelectric material,<br />

piezoelectric MEMS components, and ICs separately by<br />

different wafers, and then bonded them together for<br />

integrated MEMS/ICs mass production.<br />

2.4 Energy dissipation in piezoelectric MEMS device<br />

Piezoelectric MEMS device offers great self-actuation<br />

and self-sensing capabilities, but it always suffers from low<br />

device performance in sensitivity. To investigate the energy<br />

dissipation mechanism, various cantilevers with different<br />

layers are designed and fabricated, which includes SiO 2<br />

elastic layer, Pt/Ti bottom electrode layer, PZT film, Ti/Pt/Ti<br />

upper electrode layer, and SiO 2 top electric passivation layer.<br />

The measured quality-factors (Q-factor) of those cantilevers<br />

were analyzed by theoretical calculation. Fig. 5 summarized<br />

the difference between measured Q-factors and theoretical<br />

calculated Q-factors. It clearly revealed that the energy<br />

dissipation by the PZT film and the multi-layered structure is<br />

extremely large. It is comparable to air dumping under<br />

atmospheric pressure and becomes dominating under<br />

reduced pressures [17].<br />

Q-factor decreases to calculated value (%)<br />

10<br />

0<br />

-10<br />

-20<br />

-30<br />

-40<br />

-50<br />

-60<br />

-70<br />

SiO 2<br />

SiO 2<br />

+Ti/Pt<br />

SiO 2<br />

+Ti/Pt+PZT+Ti/Pt/Ti+SiO 2<br />

SiO 2<br />

+Ti/Pt+PZT<br />

Length: 200 μm<br />

Length: 250 μm<br />

Length: 300 μm<br />

1 2 3 4 5<br />

Number of structure layer<br />

Fig.5 Q-factor of the cantilever decreased with the increasing of structure<br />

layers, especially after PZT film integration.<br />

Fig. 4 PZT bonding on silicon substrate by surface activated bonding (SAB)<br />

using Au film as the intermediate layer: schematic view of the sample (left)<br />

and SAM images of the sample bonded at 150 °C (right).<br />

To promote the PZT application in case >400 °C<br />

temperature cannot been used, surface activated bonding<br />

(SAB) was introduced in our work for the first time for PZT<br />

Based on above results, a piezoelectrically-actuated<br />

micro cantilever [18] and a piezoelectrically-transduced disk<br />

resonator [19] were designed and fabricated in our work as<br />

resonant-based ultra-sensitive mass sensor for human<br />

healthcare and other applications. The device fabrication was<br />

done by 4-inch SOI wafers using above large area PZT film<br />

219


deposition and PZT fine pattern dry-etching techniques. Fig.<br />

6 shows SEM images of the fabricated cantilever (Fig. 6 (a))<br />

and the disk resonator (Fig. 6 (b)).<br />

In cantilever as shown in Fig. 6 (a), two PZT actuators<br />

were arranged symmetrically on both sides of the silicon<br />

cantilever and connected to the cantilever via thin beams<br />

near to substrate for cantilever excitation. Then the<br />

piezoelectric PZT actuator could be separated from the<br />

resonant structure to compress the energy dissipation from<br />

PZT film and the multi-layered structure. To compress<br />

negative effects from residual stress, support beams were<br />

designed at the front end of the actuator to reduce actuator’s<br />

initial bending. Another purpose of the support beam is to<br />

limit actuator’s vibration amplitude at the resonant frequency<br />

to suppress energy dissipation. A piezoresistive<br />

Wheatstone-bridge-gauge was integrated at the fixed end of<br />

the cantilever to detect its vibration.<br />

(a)<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

The PZT-electrode stacks were kept far from support beams<br />

to avoid clamped energy loss. To reduce the effects of<br />

support beam on disk vibration as well as to compress<br />

clamped energy loss, support beams were designed as thin as<br />

possible (7 μm×15 μm) after finite element analysis (FEA)<br />

using ANSYS ® . An Au/Cr layer with the thickness of<br />

200/50 nm was deposited on both cantilever and disk surface<br />

as the mass adsorption area to demonstrate its application as<br />

a mass sensor.<br />

2.5 Device evaluation and application<br />

After fabrication, the devices were wire-bonded and<br />

then packaged for evaluation. The results demonstrated that<br />

the cantilever (length: 100 μm; width: 30 μm) has excellent<br />

Q-factor of 1113 in air, which is several times higher than<br />

latest reported Q-factor of other integrated micro cantilevers<br />

[20][21]. Under reduced pressure of about 30 Pa, Q-factor of<br />

the cantilever was as high as 7279. Fig. 7 shows measured<br />

equivalent capacitance Cs values of the PZT film on disk<br />

resonator (Fig. 6 (b)). Clearly, the Cs variation was 0.2~0.3%<br />

at the resonant frequency owing to its vibration-induced<br />

piezoelectric charge. The disk shows great signal to noise<br />

ratio besides its high Q-factor (~1300 in air). It is also<br />

noteworthy that an electric voltage of 0.2~1 volt was proved<br />

sufficient for cantilever and disk actuation, which improves<br />

its integration capability from the viewpoints of power<br />

supplies and power consumption.<br />

(b)<br />

PZT-electrode<br />

stack<br />

Silicon Disk<br />

Fig. 7 Piezoelectric induced output (equivalent capacitance Cs) of a<br />

fabricated piezoelectric disk resonator.<br />

Fig. 6 SEM images of the fabricated (a) micro cantilevers actuated by PZT<br />

thin film and (b) disk resonator transduced by PZT thin film.<br />

In disk as shown in Fig. 6 (b), PZT-electrode stacks with<br />

limited size to reduce its energy dissipation were integrated<br />

on surface of the disk for both disk actuation and sensing.<br />

Various piezoelectric MEMS devices are expected to be<br />

integrated in sensor network for ubiquitous applications due<br />

to its self-actuation at low driving voltage, device<br />

self-sensing with low power consumption, as well as its<br />

energy harvesting capabilities. Fig. 8 explains concept of a<br />

human healthcare system by wireless sensor network<br />

technology. Although lots of work must be done, we believe<br />

it will come to reality soon.<br />

220


Fig. 8 Concept of the in-home personal healthcare system using<br />

piezoelectric MEMS resonator as the ultra-sensitive gas sensor.<br />

III. CONCLUSIONS<br />

Piezoelectric PZT is expected as one of the key<br />

functional materials for MEMS industry. Although much<br />

knowledge is available from state-of-the-art studies for wafer<br />

scale PZT film deposition, etching, characterization, and<br />

large-scale piezoelectric devices fabrication, the mass<br />

production and the commercialization of piezoelectric<br />

MEMS are still complex and difficult at present.<br />

This paper reviewed our recent progress on large area<br />

deposition, fine pattern etching, and low temperature<br />

bonding of PZT thin films for wafer scale fabrication of<br />

piezoelectric MEMS devices from both academic and<br />

technical point of view. The energy dissipation mechanism<br />

in piezoelectric MEMS device and the structure optimization<br />

are also discussed and clarified for the pursuit of better<br />

device performances.<br />

For practical mass production and commercialization,<br />

further works are still undergoing. It includes (1) developing<br />

standard processes for piezoelectric materials fabrication on<br />

8~12-inch wafer; (2) 3D wafer level packaging between<br />

piezoelectric processed wafers and other MEMS processed<br />

wafers for the promotion of large area integration and mass<br />

production; and (3) improving the reliability, stability as well<br />

as performances of the piezoelectric MEMS devices. We will<br />

report the latest results and other details in our following<br />

publications soon.<br />

ACKNOWLEDGMENT<br />

This research is partially supported by the Japan Society<br />

for the Promotion of Science (JSPS) through its “Funding<br />

Program for World-Leading Innovative R&D on Science and<br />

Technology (FIRST Program)."<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

REFERENCES<br />

[1] S.Lalan, I.Pomerantseva, J.P.Vacanti: Tissue engineering and its<br />

potential impact on surgery, World Journal of Surgery 2001, 25(11),<br />

1458-1466.<br />

[2] S.Junnila, H.Kailanto, J.Merilahti et al.: Wireless, multipurpose in-home<br />

health monitoring platform: two case trials, IEEE Transactions on<br />

Information Technology in Biomedicine 2010, 14(2), 447-455.<br />

[3] R.K.Das, R.K.Garg: Global environmental microelectromechanical<br />

systems sensors: Advanced weather observation system, Defence<br />

Science Journal 2009, 59(6), 659-665.<br />

[4] M.Hautefeuille: C.O’Mahony; B.O’Flynn et al., A MEMS-based<br />

wireless multisensor module for environmental monitoring,<br />

Microelectronics Reliability 2008, 48(6), 906-910.<br />

[5] P.Muralt: Ferroelectric thin films for micro-sensors and actuators: a<br />

review, Journal of Micromechanics and Microengineering 2000, 10,<br />

136–146.<br />

[6] D.L.Polla, P.J.Schiller: Integrated ferroelectric microelectromechanical<br />

systems (MEMS), Integrated Ferroelectrics 1995, 7(1-4), 359-370.<br />

[7] H.Raeder, F.Tyholdt, W.Booij et al.: Taking piezoelectric microsystems<br />

from the laboratory to production, Journal of Electrocermics 2007,<br />

19(4), 357-362.<br />

[8] S.Tadigadapa, K.Mateti: Piezoelectric MEMS sensors: state-of-the-art<br />

and perspectives, Measurement science & Technology 2009, 20(9),<br />

092001.<br />

[9] J.Lu, T.Kobayashi, Y.Zhang et al.: Wafer scale lead zirconate titanate<br />

film preparation by sol-gel method using stress balance layer, Thin<br />

Solid Films 2006, 515(4), 1506-1510.<br />

[10] J.Lu, Y.Zhang, T.Kobayashi et al.: Preparation and characterization of<br />

wafer scale lead zirconate titanium film for MEMS application,<br />

Sensors and Actuators A: Physical 2007, 139, 152-157.<br />

[11] T.Kobayashi, M.Ichiki, R.Kondou et al.: Degradation in the<br />

ferroelectric and piezoelectric properties of Pb(Zr,Ti)O3 thin films<br />

derived from a MEMS microfabrication process, Journal of<br />

Micromechanics and Microengineering 2007, 17(7), 1238-1241.<br />

[12] J.Lu, Y.Zhang, T.Ikehara et al.: Inductively coupled plasma reactive ion<br />

etching of lead zirconate titanate thin films for MEMS application,<br />

IEEJ Transactions on Sensors and Micromachines 2009, 129(4),<br />

105-109.<br />

[13] W.G.Zhu, Z.H.Wang, C.L.Zhao et al.: Low temperature processing of<br />

nanocrystalline lead zirconate titanate (PZT) thick films and ceramics<br />

by a modified sol-gel route, Japanese Journal of Applied Physics 2002,<br />

41, 6969-6975.<br />

[14] H.Suzuki, S.Kaneko, K.Murakami et al.: Low-temperature processing<br />

of highly oriented Pb(ZrxTi1-x)O3 thin film with multi-seeding<br />

layers, Japanese Journal of Applied Physics 1997, 36, 5803-5807.<br />

[15] Z.H.Wang, J.M.Miao, C.W.Tn et al.: Fabrication of piezoelectric<br />

MEMS devices-from thin film to bulk PZT wafer, Journal of<br />

Electroceramics 2010, 24(1), 25-32.<br />

[16] Y.H.Wang, J.Lu, T.Suga: Low Temperature Wafer Bonding Using<br />

Gold Layers, In Proc. 2009 International Conference on Electronics<br />

Packaging Technology & High Density Packaging (ICEPT-HDP),<br />

pp.15A-2-1, Beijing, China, Aug. 2009<br />

[17] J.Lu, T.Ikehara, Y.Zhang et al.: Energy Dissipation Mechanisms in<br />

Lead Zirconate Titanate Thin Film Transduced Micro Cantilevers,<br />

Japanese Journal of Applied Physics 2006, 45(11), 8795-8800.<br />

[18] J.Lu, T.Ikehara, Y.Zhang et al.: High Quality Factor Silicon Cantilever<br />

Driven by PZT Actuator for Resonant Based Mass Detection,<br />

Microsystem Technologies 2009, 15(8), 1163-1169.<br />

[19] J.Lu, T.Suga, Y.Zhang et al.: Micromachined Silicon Disk Resonator<br />

Transduced by Piezoelectric Lead Zirconate Titanate Thin Films,<br />

Japanese Journal of Applied Physics 2010, 49, 06GN17.<br />

[20] D.Jin, X.Li, J.Liu et al.: High-mode resonant piezoresistive cantilever<br />

sensors for tens-femtogram resoluble mass sensing in air, Journal of<br />

Micromechanics and Microengineering 2006, 16, 1017-1023.<br />

[21] Z.Shen, W.Y.Shih, W.H.Shih: Self-exciting, self-sensing<br />

PbZr0.53Ti0.47O3/SiO2 piezoelectric microcantilevers with<br />

femtogram/Hertz ssensitivity, Applied Physics Letters 2006, 89,<br />

023506.<br />

221


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Novel MEMS digital temperature sensor for wireless<br />

avian-influenza monitoring system in poultry farm<br />

Yi Zhang*, Hironao Okada, Takeshi Kobayashi, Toshihiro Itoh<br />

National Institute of Advanced Industrial Science and Technology (AIST)<br />

1-2-1, Namiki, Tsukuba<br />

Ibaraki 305-8564, Japan<br />

Abstract- This paper presents our recent progress on the<br />

development of sensor node for wireless avian-influenza<br />

monitoring system in poultry farm. It was found that the<br />

influenza infection could be detected based on the temperature<br />

and activity monitoring at a very early stage. In order to meet<br />

the requirements of lower power consumption and high<br />

sensitivity, new micro temperature sensor technology was<br />

developed in this paper. The new temperature sensor consists of<br />

array of bimorphs that response to different temperature by<br />

the mechanism of event-driven on/off. Difference kinds of<br />

bimorph configuration and structure were developed and<br />

experimentally examined. It was found that 3D bimorph<br />

showed attractive advantages relative to traditional planar<br />

configuration including easy-to-package, compact and<br />

easy-to-fabrication. Wafer-scale 3D microfabrication is also<br />

established for the 3D bimorph.<br />

should give the alarm at the very beginning of the infection;<br />

otherwise, there would be still high risks of influenza<br />

pandemic. The modern poultry farms in Japan usually have<br />

more than 50,000 chickens so that only several percentages<br />

of them could be affordable with wireless sensor nodes.<br />

Considering the limitation of system cost, it is also difficult<br />

to integrate those advanced functions such as direct virus<br />

detects in to the. Therefore, first of all, it is necessary to<br />

determine the minimum functions but enough for the<br />

practical monitoring. It is well known that the health state of<br />

chicken and other animals could be monitored by the<br />

variation of body temperature and individual activity. It is<br />

thus interesting to investigate whether it is possible to<br />

establish a high performance avian influenza monitoring<br />

system only based on the monitoring of body temperature<br />

and activity.<br />

I. INTRODUCTION<br />

With rapidly growing threats from avian-influenza in<br />

recent years [1], there is considerable interest in the<br />

development of wireless health-monitoring system<br />

technology for poultry farm. It is in particular important for<br />

Asia and other areas where the safety of the poultry and<br />

products are important for daily living of the local society. It<br />

is thought that the wireless health-monitoring system can<br />

reduce the public risk and thereby economic lost of the<br />

avian-influenza to the least. However, there are few<br />

literatures on the application of wireless network and sensor<br />

nodes in poultry farm. It is necessary to establish basic<br />

knowledge and requirements on the sensor node and the<br />

wireless system. For example, the wireless<br />

health-monitoring system consists of large number of sensor<br />

nodes because a modern poultry farm has several tens<br />

hundreds of poultry animals and even more. In addition, the<br />

poultry animals are small so that those sensor nodes should<br />

be very tiny and light weight. This paper is aiming to present<br />

our recent progress on the development of the wireless<br />

sensor node.<br />

II.<br />

DESIGN AND EXPERIMENTALS<br />

A. Prototype wireless sensor node<br />

We thought that an avian influenza monitoring system<br />

Fig. 1 Photograph of the prepared prototype wireless sensor node.<br />

We have developed prototype wireless sensor node and<br />

used it for a small scale animal experiments in order to<br />

collect those required information. Figure 1 show its<br />

photography. A thermistor is used for measuring the body<br />

temperature. A 3-axis accelerometer (H34C, Hitachi Metals<br />

Ltd.) is used for the activity sensing. The weight and size of<br />

the chip is 1.2 g and φ18×3 mm, respectively. The sensor<br />

node is protected by a plastic case (φ24×9 mm, 2.2 g). The<br />

total weight of the prototype node is only about 5.2 g<br />

including a button battery. The work distance is about 20 m.<br />

More details could be found in our recent reports [2-3]. The<br />

experimental and simulation results indicated that the<br />

influenza infection including the highly pathogenic avian<br />

influenza (HPAI) could be detected by the wireless sensor<br />

node at 10 hours and earlier before the death. It was also<br />

222


found that the early-stage diagnosis and alarm could be<br />

realized through the health monitoring of the 5% of the<br />

chickens. It could be drawn the conclusion that that the avian<br />

influenza monitoring could be realized by the temperature<br />

and activity sensing. However, owing the capacity limitation<br />

of available battery, the work lifetime of the prototype sensor<br />

node does not meet the practical application, in which<br />

two-year lifetime is required. Much effort had been made on<br />

the development of ultra-low power consumption circuit and<br />

event driven on/off accelerometer technologies but few<br />

detailed literatures are available on the development of<br />

MEMS-based temperature sensor with ultra-low power<br />

consumption. This paper would give a detailed report on our<br />

recent progress on the development.<br />

B. Digitally sensing of temperature<br />

Conventional temperature sensors require high power<br />

consumption for sensing and transferring analog signals into<br />

digital ones so that they do not meet the low-power<br />

requirements [4-6]. Among those sensing structures of<br />

temperature, bimorph structure is attractive because of its<br />

passive sensing mechanism. As the bimorph structure is<br />

directly driven by the thermal expansion mismatch of<br />

constitution layers, its power consumption is intrinsically<br />

zero. Therefore, up to now, it has been widely utilized as a<br />

powerless switch to protect system from overheating and<br />

thereby failures. Since the response temperature of one<br />

bimorph can be directly controlled by the adjusting of the<br />

beam length or other structure parameters, it is possible to<br />

realize the temperature sensing in a wide range by using a<br />

group of bimorph structures. If there is an array of several<br />

bimorphs that could be response to different temperature<br />

variation, i.e. event driven on/off, a passive but high<br />

sensitivity temperature sensor could be possible. Therefore,<br />

we have suggested two kinds of new bimorph structures and<br />

examined their thermal behavior with the temperature<br />

variation.<br />

Figure 2 (a) is schematic of triple-beam bimorph. It would<br />

deflect up with the increasing of temperature and contact the<br />

counter pads so that other application circuits can be<br />

switched on. The lengths of the side beam and the middle<br />

beam were determined for different response temperatures<br />

through finite element simulation by using ANSYS software.<br />

The simulation shows that the side beams assure 0.5 o C<br />

sensitivity and the middle beam assists in achieving 0.1 o C<br />

sensitivity. Figure 3 shows the simulation results. It is<br />

noteworthy that the length of the middle beam can be<br />

adjusted by the range of 20-30 μm for achieving about 1 o C<br />

difference. The variation is about 10% of the total beam<br />

length so that the microfabrication of the bimorph array<br />

would be feasible.<br />

Figure 2 (b) is schematic of 3D-bimorph. The 3D bimorph<br />

is beneath the substrate surface and its bending direction is<br />

not perpendicular to the top surface. With temperature<br />

changing, the bimorphs bends to or away from each other for<br />

switch on and off, respectively. The sensitivity can therefore<br />

be determined by the gap increment instead of the length<br />

increment. Calculation shows that a sensitivity of about<br />

0.5 o C could be achieved by using 3 μm Si/0.3 μm Au<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

bimorph with a gap increment of 0.5 μm. The gap increment<br />

could be realized by using conventional MEMS process.<br />

Compared with the triple-beam layout, the 3D bimorph can<br />

be easily packaged and integrated with other chip<br />

components but its microfabrication needs more effort.<br />

Beam Length, μm<br />

Bimorph deflects up and is switched on.<br />

Fig. 2 (a) Schematic of triple-beam bimorph and its work mechanism.<br />

Fig. 2 (b) Illustration of 3-D metal/Si bimorph.<br />

280<br />

270<br />

260<br />

250<br />

240<br />

230<br />

220<br />

210<br />

200<br />

190<br />

38 40 41 42<br />

Middle beam, L 1<br />

Side beam, L 2<br />

C. Fabrication and characterization<br />

Figure 4 is the fabrication sequence of the triple-beam<br />

43<br />

Response temperature, o C<br />

Fig. 3 Length of the side and middle beams determined by ANSYS<br />

simulation for different temperature. The displacement of bimorph<br />

and the thickness of metal layer are assumed to be 5 μm and 500 nm,<br />

respectively.<br />

45<br />

223


imorph. Bimorph array of single beam was also prepared<br />

for direct comparisons. The fabrication is mainly involved of<br />

commonly-used surface micromachining and deep reactive<br />

ion etching technology. The top and bottom layer of the<br />

bimorph was Mo (500 nm-thick) and Au (500 nm-thick),<br />

respectively. The former has the thermal expansion<br />

coefficient of about 4.9 ppm/ o C. The latter has the thermal<br />

expansion coefficient of about 14.4 ppm/ o C. Figure 5 is<br />

fabrication process of the 3D bimorph, in which SOI wafer<br />

was used and its device layer has high resistivity (> 1000<br />

Ω⋅cm). Spray coating method was used for the resist coating<br />

in order to get good coverage on non-planar surface and the<br />

fabrication of isolation gap. Other key processes include the<br />

conformal deposition of thin metal film and the formation of<br />

the isolation structure between the adjacent bimorph.<br />

Because the beam height was 35 μm, the sputtering method<br />

was utilized for the deposition of thin metal film.<br />

Fig 4 Fabrication sequence of the triple-beam bimorph<br />

Fig. 5 Fabrication sequence of the 3D bimorph.<br />

The displacement measurement of the triple-beam<br />

bimorph was carried out in a home-made quartz stage by<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

using a confocal scanning laser microscopy (OPTELICS<br />

S130, LASERTEC). The tip displacements were in-situ<br />

measured. The 3D bimorph was examined on a Cascade<br />

9000 analytical probe station with a heater (Temptronic Co.)<br />

by using Agilent 4284A LCR meter.<br />

III. RESULTS AND DISCUSSION<br />

Figure 6 are the SEM images of the prepared triple-beam<br />

bimorphs. Traditional single-beam bimorph was also<br />

prepared for direct comparisons of thermal response<br />

behavior. The bi-metal layer structure is visible in Fig. 6. It<br />

is noteworthy that all the prepared bimorphs have large<br />

initial deflection because of the residual stress that resulted<br />

from the deposition and micromachining of the thin films.<br />

The initial deflection was within 10 ~ 30 μm. The<br />

triple-beam bimorph had almost same initial bends as the<br />

single-beam or traditional bimorph. It was also found that<br />

the initial bends of the triple-beam bimorph was not the<br />

average value of those of the side and middle beam. The side<br />

beam was dominantly determined the initial bends of the<br />

triple-beam bimorph. Figure 7 representative Z-images for<br />

the displacement measurement of the triple-beam bimorph.<br />

The triple-beam bimorph had tilted during the upward<br />

deflection upon temperature increasing, which possibly<br />

resulted from alignment error during the fabrication. The<br />

initial bends and tilts of the triple-beam bimorph would be<br />

barriers to the practical application. As well, the package of<br />

the triple-beam bimorph would be difficult.<br />

Figure 8 are the SEM images of the prepared 3D bimorphs,<br />

respectively. It consisted of ten 5 μm-thick Si/0.4 μm-thick<br />

Au bimorph in five pairs. Good leading connections were<br />

formed across the cavity edge. The isolation gaps were well<br />

formed, too. Figure 9 was optical photo of the chip after the<br />

dicing. The chip was 1.2 mm square. The 3-D bimorph was<br />

robust and can stand for conventional dicing process with<br />

simple protection by polymer sheet. We could draw the<br />

conclusion that the new 3D layout could simplify the<br />

microfabrication process and thus the cost.<br />

Figure 10 is the measured displacements of the prepared<br />

bimorph upon the increasing of temperature from 25 o C to<br />

38 o C, 41 o C and 44 o C. The triple-beam bimorph exhibited<br />

different behaviors from the traditional single-beam<br />

bimorph upon the increasing of temperature. Firstly, the<br />

former had larger tip displacements than the latter. For<br />

example, the tip displacement was about 2 μm for the<br />

triple-beam bimorph with the temperature increasing from<br />

41 to 44 o C while the other was only about 0.5 μm. The larger<br />

tip displacement makes bigger increment of dimension and<br />

therefore higher sensitivity possible. For example, the<br />

triple-beam thermometer could be consisted of 20 bimorphs<br />

at the displacement increment of 100 nm for temperature<br />

sensing between 41 and 44 o C. Larger displacement and<br />

beam-length increment also suggested that the fabrication<br />

process was more feasible. We could draw the conclusion<br />

that the triple-beam bimorph is prior to the single-beam one<br />

in the views of better temperature sensing and easier<br />

fabrication.<br />

224


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig. 8 SEM images of the prepared Au/Si bimorph devices before<br />

dicing. Good leading connections and isolation structure were<br />

successfully achieved.<br />

Fig. 6 SEM views of the prepared single-beam, triple-beam, and the<br />

bi-metal layer of Mo and Au.<br />

38 o C<br />

41 o C<br />

Fig. 7 Representative Z-images of the prepared triple-beam bimorph<br />

by the confocal system.<br />

Fig. 9 Photos of as-prepared chips after dicing.<br />

225


Tip Displacement, μm<br />

Tip Displacement, mm<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

increasing to and above about 70 o C, suggesting that the 3D<br />

Thermometer of triple-beam bimorph<br />

bimorph began to response. The practical response<br />

temperature is much higher than the required one that is<br />

22<br />

around 42 o C. One main reason is that the thickness of gold<br />

20<br />

film is only about 400 nm. Another noteworthy phenomenon<br />

is that the temperature dependence of the measured tip<br />

18<br />

displacement showed little hysteresis during the thermal<br />

cycles. Although the present prototype did not meet the<br />

16<br />

44 o C<br />

requirement of the practical application, though the<br />

14<br />

41 o C<br />

improvement of process and design, its commercial<br />

application could be expected.<br />

12<br />

10<br />

0 10 20 30 40 50<br />

Bimorph Numbering<br />

38 o C<br />

Thermometer of single-beam bimorph<br />

22<br />

20<br />

18<br />

16<br />

14<br />

12<br />

44 o C<br />

41 o C<br />

38 o C<br />

10<br />

0 1 2 3 4 5 6 7 8 9 10<br />

Bimorph Numbering<br />

Fig. 10 Measured tip displacement upon temperature increasing from<br />

25 o C.<br />

Capacitance, fF<br />

34<br />

32<br />

30<br />

28<br />

26<br />

24<br />

22<br />

20<br />

18<br />

16<br />

14<br />

12<br />

10<br />

8<br />

6<br />

4<br />

2<br />

0<br />

3A-3B pair<br />

Increase; A sample<br />

Decrease; A sample<br />

Increase; B sample<br />

Decrease; B sample<br />

20 30 40 50 60 70 80 90 100 110<br />

Temperature, o C<br />

III. CONCLUSIONS<br />

This paper presented a wireless sensor node prototype for<br />

the avian influenza monitoring system of modern poultry<br />

farm. It was found that the influenza infection could be<br />

detected by the developed sensor node at very early stage<br />

through the monitoring of body temperature and activity.<br />

Bimorph-based thermometers were also developed. The 3D<br />

bimorph shows great potential in the practical manufacture<br />

and application while more efforts are still needed for high<br />

sensitivity.<br />

REFERENCES<br />

[1] H. Pilcher, “Increasing virulence of bird flu threatens mammals”,<br />

Nature 430 (4), 4(2004)<br />

[2] H. Okada, T. Itoh, K. Suzuki, T. Tatsuya, K. Tsukamoto,<br />

“Simulation study on the wireless sensor-based monitoring system<br />

for rapid identification of avian influenza outbreaks at chicken<br />

farms”, in Proc.9 th Annual IEEE Conference on Sensors (IEEE<br />

Sensors 2010), pp 660-663, Hawaii, US, November 1-4, 2010.<br />

[3] H. Okada, T. Itoh, T. Tatsuya, K. Tsukamoto, “Wireless sensor<br />

system for detection of avian influenza outbreak farms at an early<br />

stage”, in Proc. 8 th Annual IEEE Conference on Sensors (IEEE<br />

Sensors 2009), pp 1374-1377, Christchurch, NZ, October 25-28,<br />

2009.<br />

[4] S. Scott, F. Sadeghi, D. Peroulis, “An inherently-robust 300 o C<br />

MEMS temperature sensor for wireless health monitoring of ball<br />

and rolling element bearings”, in Proc. 8 th Annual IEEE<br />

Conference on Sensors (IEEE Sensors 2009), pp 975-978,<br />

Christchurch, NZ, October 25-28, 2009.<br />

[5] A. DeHennis and K. D. Wise, “A Wireless microsystem for the<br />

remote sensing of pressure, temperature, and relative Humidity”,<br />

Journal of Microelectromechanical Systems, vol. 14, pp. 12-22,<br />

2005.<br />

[6] H. Y. Ma, Q. A. Huang, M. Qin, T. T. Lu, “A micromachined<br />

silicon capacitive temperature sensor for wide temperature range<br />

applications”, J. Micromech. Microeng. 20(2010) 055036.<br />

Fig. 11 Measured capacitance vs. temperature of the Au/Si bimorph<br />

pairs. Higher sensitivity can be expected through reducing the distance<br />

between the bimorphs.<br />

Figure 11 is measured capacitance vs. temperature of one<br />

pair of bimorph shown in the insert at top right. There is<br />

abruptly increasing of capacitance with the temperature<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

226


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Application of Wireless Sensor Nodes to<br />

Commercial Power Consumption Monitoring<br />

Toshihiro Itoh, Jun Fujimoto and Ryutaro Maeda<br />

National Institute of Advanced Industrial Science and Technology (AIST) & JST, CREST<br />

Namiki 1-2-1<br />

Tsukuba, Ibaraki 305-8564, Japan<br />

Abstract- We developed prototypes of miniaturized wireless<br />

sensor nodes for monitoring the power consumption of<br />

electrical devices and have been experimentally applying the<br />

networked monitoring system using them to power monitoring<br />

of the “convenience stores”. Nowadays, carbon dioxide (CO2)<br />

emission in the ICT field is increasing enormously due to the<br />

high electric power consumption of ICT devices, e.g., in internet<br />

data centers as well as offices and homes. In order to reduce<br />

ICT’s CO2 emission, it is indispensable to introduce energy<br />

management systems (EMS) taking the advantages of wireless<br />

sensor network technology. Therefore, we have been<br />

developing wireless clamp-meter probes integrated with a<br />

thermosensor and a monitoring system that enables<br />

simultaneous power consumption measurement of 50 power<br />

lines. Using the sensor nodes, power consumption monitoring<br />

of “convenience stores” was demonstrated and it was found<br />

that the obtained power “profile” of equipments can effectively<br />

present the key features of their-usage.<br />

social views such as technology distribution and usage of<br />

technology in society. Therefore, we propose the concept of<br />

“Meso”-level that provides a link between “Macro” and<br />

“Micro” levels, as shown in Fig. 1. On the “Meso”-level, we<br />

can address issues related to the effect of technology on<br />

social structures and human behavior with wide-ranging<br />

implications, such as education, lifestyle, business, and<br />

global economy. This paper is about an experiment related<br />

to “Meso”-level, utilizing prototype of wireless sensor nodes<br />

on a “Micro”-level. Through field experiments of sensor<br />

nodes in commercial areas, for instance, it could be<br />

considered how to integrate technology with social issue,<br />

such as power-saving in Japan.<br />

Overall goal, Social Needs<br />

e.g. CO2 70% reduction in 2050<br />

Macro<br />

I. INTRODUCTION<br />

Recently, the ICT impact on CO2 emission has attracted a<br />

great deal of attention with regard to global warming<br />

problems. This raises two issues. One is the problem of<br />

increasing power consumption by ICT diffusion. Several<br />

kinds of ICT services have been disseminated widely and<br />

rapidly throughout the society, and have changed our daily<br />

life. These services are supported by complicated hidden<br />

ICT networks, which consume much electric power.<br />

Furthermore, we have noticed that ICT diffusion indirectly<br />

accelerates economic development in developing countries,<br />

e.g. “Offshoring.” ICT diffusion may contribute to an<br />

increase in power consumption globally due to this economic<br />

development. The other issue, in contrast, is the hope to<br />

rescue climate change. The positive impact of ICT diffusion<br />

is a reduction in resource and power consumption through<br />

“dematerialization” and “efficiency improvement” in the<br />

society. Dematerialization refers to the replacement of<br />

conventional materials and human mobility, which were<br />

once needed to carry information, with electrons.<br />

By the way, current approaches to achieving a low carbon<br />

society have focused on linking “Micro” to “Macro” directly.<br />

“Macro” means overall goal of creating low-carbon society.<br />

“Micro” means technological innovations and approaches<br />

supported by institutions. However, this approach lacks<br />

Social perspective<br />

(How to integrate technology with social issues?)<br />

Technologies Development<br />

Meso<br />

Micro<br />

Technology A Technology B Technology C<br />

Fig. 1. Concept of “Meso”-level that provides a link between<br />

“Macro” and “Micro” levels.<br />

The project, “Applications of Wireless Sensor Nodes to<br />

Control Electric Power Consumption from ICT (Information<br />

Communication Technology) System” in Core Research for<br />

Evolutional Science and Technology (CREST) program<br />

Japan, started in October 2007 [1]. This project aims at<br />

reducing electricity power consumption in Japan, especially<br />

focusing on power consumption from ICT system, such as<br />

Data-centre, due to diffusion of wireless sensor nodes for<br />

monitoring electronic current of equipment. Our previous<br />

study included in this project, presented assessment results of<br />

electricity consumption from ICT in future states based on<br />

“2025 ICT Society Scenarios”[2]. These results reveal that<br />

the total power consumption from ICT was over 49.2 TWh in<br />

2008, which was around 5% of total electricity demand in<br />

Japan, 2008. Furthermore, we depicted a future ICT society<br />

based on scenario-planning and brainstorming methods, and<br />

227


estimated power consumption in 2025 utilizing these<br />

scenarios. The results suggest that power consumption from<br />

ICT reached to around 100 TWh in 2025, without<br />

considering technological progress [2]. After this study, we<br />

began to examine the new technology of wireless sensor<br />

nodes and its application of power monitoring in residential,<br />

commercial, and business areas in order to make our<br />

previous study more concrete.<br />

This paper presents the experiment of power monitoring<br />

using wireless sensor nodes in “convenience stores”. From<br />

these experiments, benefits and issues in practical use of our<br />

monitoring system were discussed.<br />

Diode Temp. Sensor<br />

MCU<br />

RF-IC<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

II. WIRELESS SENSOR NODES FOR POWER MONITORING<br />

Antenna<br />

Fig. 2. Wireless sensor node integrated with<br />

a current clamp probe (smallest type) and thermometer.<br />

TABLE I<br />

KEY COMPONENTS OF PROTOTYPE OF A SMALL WIRELESS SENSOR NODE.<br />

Fig. 2 shows a prototype of the wireless sensor node for<br />

power monitoring system. The prototyped sensor node<br />

consists of a wireless communication module, sensor<br />

interface circuits, a diode temperature sensor, and a clamp-on<br />

type current transformer having two jaws which open to<br />

allow clamping around an electrical conductor [3]. Table I<br />

shows specifications of the key components used in the node.<br />

The sensor node can detect the flow of current with the help<br />

of the current transformer and the circumambient<br />

temperature. The smallest sensor node can detect the power<br />

of 1 – 1500 W, since the small clamp-on type current<br />

transformer (CTL-6-S32-8F-CL, U.R.D., Ltd.) can be<br />

applied to the current range of 0.01 – 15 Arms. In case of<br />

monitoring the larger current, large clamp-on type current<br />

transformers, shown in Fig. 3, were utilized for high power<br />

application. The wireless communication module includes a<br />

low-voltage and low-power microcontroller unit<br />

(C8051F921, Silicon Laboratories) and single-chip 2.4 GHz<br />

transceiver (nRF24L01, Nordic Semiconductor ASA). Since<br />

the microcontroller can be operated with 0.9 V at minimum<br />

and has a built-in dc-dc converter, the module can work with<br />

one 1.5 V button-type battery. When using a battery of 100<br />

mAh, the sensor node with transmission once a second was<br />

working continuously throughout two months. If the<br />

transmission frequency is set to be once a minute, the sensor<br />

node could work throughout 10 years and be described as a<br />

“maintenance-free” node.<br />

Clamp-on Type AC<br />

Current Sensor<br />

(Smallest Type)<br />

CTL-6-S32-8F-CL [4]<br />

MCU<br />

C8051F921 [5]<br />

Transceiver IC<br />

nRF24L01 [6]<br />

Receiver<br />

100x60x17mm 3<br />

-Micro-SD storage (battery-powered receiver)<br />

-Transmitting to PC via USB<br />

- Dimensions (mm): 18W x 25H x 18t<br />

- Windng (Turn): 800<br />

- Current Range (Recommended): 10 mA<br />

– 15 A<br />

- Supply Voltage: 0.9 – 1.8 V (One-cell<br />

mode operation)<br />

- Built-in dc-dc converter with 1.8 – 3.3 V<br />

output (65 mW max)<br />

- Typical sleep mode current < 0.1 μA<br />

- 10-Bit Analog to Digital Converter<br />

- 2.4-2.5 GHz ISM band<br />

- Minimum supply voltage: 1.9 V<br />

- Supply current in TX mode @ 0dBm<br />

output power: 11.3 mA<br />

- Supply current in Power Down mode:<br />

900 nA<br />

Clamp (S):18X25X18mm:12g<br />

Wireless module,<br />

Sensor interface circuits<br />

19X14x14mm 3<br />

-Working over 12 month<br />

by SR-44 button battery<br />

Clamp (M):23X38.5X26mm:45g<br />

Clamp (L):29X44.5X31mm:70g<br />

Fig. 3. Wireless sensor nodes used for commercial power<br />

consumption monitoring experiment.<br />

III. COMMERCIAL POWER CONSUMPTION MONITORING<br />

10 “convenience stores” (CVSs) were chosen as an<br />

experiment. CVSs are like grocery stores open 24 hours and<br />

7 days a week. They have been deeply rooted in Japanese<br />

culture, there are around 42,000 stores in Japan and around<br />

35 million people use stores every day. Individual stores<br />

provide services while consuming large amount of electricity<br />

around 500 kWh/day. As shown in Table II, there are several<br />

kinds of equipment in CVSs. This equipment can be<br />

classified into two types by the supplied type of electricity,<br />

single-phase AC and three-phase AC.<br />

TABLE II<br />

TYPICAL EQUIPMENT IN CONVENIENCE STORES<br />

• Single-phase AC (200V)<br />

– Lighting<br />

– Name board<br />

– Sign stand<br />

– ATM<br />

– Copy machine<br />

– Microwave<br />

– Water heater<br />

– Heated Food (Oden,<br />

Steamed bread, Fried<br />

food)<br />

– Coffee machine<br />

• Three-phase AC (200V)<br />

– Display cooler and<br />

freezers<br />

• Reach-in, walk-in<br />

– Air-conditioning<br />

– Chilled case<br />

– Fryers<br />

– Drink cooler<br />

– Ice-cream case<br />

Fig.4 shows wireless sensor nodes attached to power lines.<br />

228


Power monitoring data detected by wireless sensor nodes<br />

was analyzed in individual stores. The result obtained in one<br />

store was summarized in Fig. 5, showing the result of power<br />

profiling of CVS. Horizontal axis presents day’s average<br />

temperature in Tachikawa area (in the center in terms of<br />

north and south and a little west of Tokyo Metropolitan area).<br />

Power consumption of display cooler and freezers and<br />

air-conditioning changed largely with temperature. More<br />

detail, power consumption of display cooler increases in a<br />

parabolas fashion with increasing temperature. That of<br />

air-conditioning shows minimum around 15 degree in spring<br />

and autumn season. These changes cause this trend of total<br />

power consumption of CVS against average temperature.<br />

On the contrary, power consumption of equipment worked<br />

by “single-phase AC” and some equipment worked by<br />

“three-phase AC” kept almost constant with temperature<br />

changes. There is a lot of equipment worked by single-phase<br />

AC. As shown in Fig.6, the equipment used on shop counter,<br />

such as heating food and coffee machine, and lighting shows<br />

large contribution to total power consumption. It can be<br />

assumed that power consumption of single-phase AC shows<br />

similar values due to day’s temperature.<br />

Single-phase AC (200V)<br />

Wireless<br />

Sensor Nodes<br />

Three-phase AC (200V)<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Power Consumption kWh/day<br />

Though the equipment of store H is older than that of store J,<br />

power consumption of store H shows similar changes with<br />

the store J. This is because store H has good installation<br />

environment of outdoor-unit. On the contrary, the oldest<br />

equipment of store F shows different changes with other<br />

stores. This may be caused by degradation of equipment and<br />

characteristic with non-invertor.<br />

Store G<br />

Microwave<br />

Lighting<br />

(shop, name board)<br />

Water heater<br />

Lighting<br />

(equipment)<br />

Heating food,<br />

Coffee-machine etc.<br />

Fig. 6. Power consumption of individual equipment in single-phase AC.<br />

.<br />

300<br />

250<br />

200<br />

150<br />

100<br />

50<br />

Display Cooler and Freezers (Walk-in Type)<br />

2000/8(6horsepower,6doors)<br />

Deteriorated equipment<br />

Different environment “outdoor-unit”<br />

2005/8(6horsepower,6doors)<br />

Energy-saving equipment<br />

2006/8(6horsepower,7doors)<br />

ATM<br />

2005/4<br />

(6horsepower,7doors)<br />

東 D文 化<br />

武 F蔵 砂 川<br />

多 G摩 関 戸<br />

砂 J 川<br />

矢 H野 口<br />

2010/3(8horsepower,7doors)<br />

Fig. 4. Example of sensor installation.<br />

0<br />

0 5 10 15 20 25 30<br />

Average Temperature ℃/ day<br />

Fig. 7. Estimate cause of power difference between stores.<br />

Power Consumption kWh/day<br />

900<br />

800<br />

700<br />

600<br />

500<br />

400<br />

300<br />

200<br />

100<br />

0<br />

Winter Spring/Autumn Summer<br />

For heating<br />

Air-conditioning<br />

Display cooler and freezers<br />

Ice-cream, Fryer, etc.<br />

Single-Phase AC(200V)<br />

1 6 11 16 21 26 31<br />

Average Temperature ℃/ day<br />

Fig. 5. Schematic power consumption in CVS.<br />

Three-phase AC (200V)<br />

Fig. 7 shows both fitted curve of individual stores and<br />

features of equipment which are installation date and<br />

performance. The latest equipment in store J shows low<br />

power consumption. As the equipment gets older in store D,<br />

F & G, curves shift direction to larger values without store H.<br />

IV. SUMMARY<br />

We have applied prototypes of wireless sensor nodes and<br />

network system to monitoring the power consumption of<br />

equipment in convenience stores as one of the important<br />

applications for commercial power monitoring. The<br />

prototype of sensor node is a wireless current clamp-on type<br />

probes integrated with a thermometer and the system enables<br />

simultaneous monitoring of 50 power lines. Using the sensor<br />

nodes and system, power consumption monitoring of 10<br />

convenience stores has been successfully demonstrated. It<br />

has been found that for this application, besides the low cost<br />

of sensor systems, ease of installation and undisturbed<br />

environment in setting monitoring system were strongly<br />

required. For achieving these properties and the low cost of<br />

sensor systems, it would be necessary to have wireless and<br />

non-battery system, small size sensor nodes.<br />

ACKNOWLEDGMENT<br />

The authors thank Seven-Eleven Japan Co., Ltd. for the<br />

assistance of the power monitoring experiment in the stores.<br />

229


REFERENCES<br />

[1] http://www.jst.go.jp/kisoken/crest/en/area04/5-02.html<br />

[2] J. Fujimoto and T. Hata, Assessment of Power Consumption from<br />

ICT in Future States based on “2025 ICT Society Scenarios”,<br />

EcoDesign2009, Dec. 9, 2009, pp.837-842.<br />

[3] T. Itoh, Y. Zhang, M. Matsumoto and R. Maeda, Wireless Sensor<br />

Nodes for Monitoring the Power Consumption of Information and<br />

Communication Devices, EcoDesign2009, Dec. 9, 2009,pp853-856.<br />

[4] http://www.u-rd.com/english/products/ac/ac_3.html.<br />

[5] https://www.silabs.com/products/mcu/lowvoltagelowpower/<br />

Pages/default.aspx.<br />

[6] http://www.nordicsemi.com/files/Prod_brief_RFSilicon_<br />

nRF24L01.pdf<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

230


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Developing MEMS DC Electric Current Sensor<br />

for End-use Monitoring of DC Power Supply<br />

Kohei Isagawa 1 , Dong F. Wang 1 , Takeshi Kobayashi 2 , Toshihiro Itoh 2 and Ryutaro Maeda 2<br />

1<br />

Micro Engineering & Micro Systems Laboratory, Ibaraki University (College of Eng.), Hitachi, Ibaraki 316-8511 Japan<br />

(Tel: +81-294-38-5024; Fax: +81-294-38-5047; E-mail: dfwang@mx.ibaraki.ac.jp)<br />

2 Ubiquitous MEMS and Micro Engineering Research Center (UMEMSME), AIST, Tsukuba, Ibaraki 305-8564, Japan<br />

Abstract- A non-drive, non-contact MEMS DC electric current<br />

sensor to satisfy the increasing needs of DC power supply for<br />

monitoring the electricity consumption by either one-wire or<br />

two-wire appliance cord has been proposed. A micro magnet is<br />

integrated into the MEMS-scale DC sensor and the appropriate<br />

position for locating the micro magnet has been theoretically<br />

pinpointed. A macro-scale prototype DC sensor was therefore<br />

fabricated, and an impulse piezoelectric voltage output can be<br />

clearly detected out when a DC electric current was applied to a<br />

two-wire electrical appliance cord. A linear relationship<br />

between the detected peak value of the impulse output voltage<br />

and the applied DC electric current was further obtained based<br />

on the empirical measurements. After the demonstration of the<br />

macro-scale prototype DC sensor, the MEMS-scale DC sensor<br />

has been then theoretically designed from the view point of<br />

reasonable output voltage measurements, and preliminarily<br />

micro-fabricated for further characterizations.<br />

Keywords- MEMS DC sensor; Electricity end-use monitoring; DC<br />

power supply; PZT; Non-drive; Non-contact; Two-wire cord<br />

I. INTRODUCTION<br />

The energy consumption by factories, automobiles and<br />

even human’s daily life make the increase of CO 2 exhaust,<br />

which subsequently aggravates the green-house effect. The<br />

total amount of CO 2 exhaust in Japan in 2008 was 1.21 billion<br />

ton, and about one of thirds was caused by residential section<br />

and commercial section. About 40% of the amount of CO 2 is<br />

caused by electrical consumption of household equipment and<br />

Information and Communication Technology (ICT) devices.<br />

Moreover, in Japan, the electricity consumption of ICT devices<br />

will increase by about 4.2 times by the year of 2025. The<br />

electricity consumption of internet data center (IDC) is also<br />

rapidly increasing with the increasing amount of the data traffic<br />

on the internet. It is estimated to grow by two order of its<br />

present value by the year of 2025 [1]. In addition, IDC have<br />

been anticipated to achieve a decrease in AC to DC conversion<br />

loss. Something similar is being conducted at DC houses<br />

consisting of a solar battery or a storage cell. Therefore, it is<br />

essential to monitoring the DC electricity consumption so as to<br />

establish an effective electricity management system.<br />

Although Hall element based direct current sensor is the<br />

main stream at the present day. However, since the household<br />

equipment and ICT device use two-wire appliance cord, the<br />

Hall element based direct current sensors can not be applied<br />

directly without a line separator to first separate the two-wire<br />

appliance so as to measure the current. In addition, a drive<br />

voltage is physically necessary for the Hall element based<br />

sensors, which is inconvenient to monitor electrical<br />

consumption at anytime and anywhere without a power supply.<br />

In this work, a novel MEMS DC sensor, which is<br />

self-driven and applicable to both one-wire and two-wire<br />

appliance cord, has been proposed, designed, and preliminarily<br />

investigated. The proposed MEMS DC sensor is believed to<br />

be very useful to various kinds of DC systems in the near<br />

future.<br />

II.<br />

PORPOSING MEMS-SCALE DC SENSOR AND ITS<br />

APPLICATION TO TWO-WIRE ELECTRICAL APPLICANCE CORD<br />

The proposed MEMS DC sensor, as shown in Fig. 1, is<br />

expected to be utilized for monitoring the electricity<br />

consumption by one-wire or two-wire appliance cord. The<br />

critical component, which is encapsulated in the green shell, is<br />

a cantilever made up of piezoelectric film and a permanent<br />

micro-magnet fixed on the end. When a direct current from DC<br />

power supply is flowed via a two-wire appliance cord, the<br />

piezoelectric film coated cantilever is bended by the created<br />

magnetic force acted on the micro magnet, and the output<br />

voltage is generated by the piezoelectric film and the applied<br />

DC current is therefore detected out.<br />

Fig. 1. A newly proposed non-drive and non-contact MEMS DC sensor<br />

for end-use monitoring of DC power supply.<br />

231


Compared with commercially available current sensors, the<br />

proposed MEMS DC electric current sensor has several<br />

advantages such as non-drive, non-contact, and capability in<br />

sensing one-wire to two-wire appliance cord. In this study, a<br />

macro-scale prototype DC sensor was also fabricated and<br />

demonstrated to confirm whether the impulse (momentary)<br />

output voltage signal from DC current could be clearly detected<br />

out or not.<br />

III.<br />

MAGNET’S POSITION WITH A RESPECT TO THE<br />

GENERATED MAGNETIC FILED<br />

The magnetic force on a permanent magnet in a magnetic<br />

field is proportional to the integral of the field gradient over the<br />

magnet’s volume [2 - 4]. If the magnet comes close to a long<br />

current-carrying cord, the magnetic forces in the plane normal<br />

to the wire can be described by Equation (1).<br />

( )<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

pervious study [5].<br />

d<br />

d<br />

= BF ry ∫<br />

d = BF VH<br />

( )<br />

dy<br />

∫ d (1)<br />

rz VH<br />

dz<br />

dH z<br />

dz<br />

⎛<br />

⎜<br />

π ⎝<br />

( − )<br />

a + ⎞<br />

+<br />

yi<br />

ay )(<br />

⎟ (4)<br />

+−<br />

zay<br />

))( ++<br />

zay<br />

⎠ (<br />

−= 222 ))(<br />

222<br />

Fig. 3 plots the z-direction gradient of the y-component of<br />

the magnetic field surrounding a two-wire appliance cord.<br />

The dash lines in Figs. 2 and 3 mean the maximum value of<br />

the z-direction gradient of the z-component of the magnetic<br />

field for a single wire or a two-wire appliance cord,<br />

respectively.<br />

In the above Equation, y and z are horizontal and vertical<br />

direction, respectively, F is the magnetic force on the magnet,<br />

H y and H z are horizontal and vertical components of magnetic<br />

field in amperes pre meter, B r is the remanence of permanent<br />

magnet in Tesla, and V is the magnet’s volume. Assuming that<br />

the remanence of the permanent magnet is uniform and aligned<br />

in the positive z-direction.<br />

In order to analyze the magnetic field gradient all around an<br />

electric power cord, the magnetic field surrounding a single<br />

current-carrying cord should be considered and is described by<br />

Equation (2).<br />

Fig. 2. Plot of the magnitude of the vertical component of<br />

z-direction magnetic field gradient around a single wire, 10 A current assumed.<br />

i<br />

H = (2)<br />

2πr<br />

H is the magnetic field in amperes per meter, i is the current<br />

in wire (A), and r is radial distance from the wire to point of<br />

interest. The direction of H is determined using the ‘right-hand<br />

rule’, aligning the thumb of the right hand with direction of<br />

flowing current. Equation (3) can thus be inducted from<br />

Equation (2) for z-direction gradient of the z-component of the<br />

magnetic field surrounding a single wire.<br />

dH z iyz<br />

−= (3)<br />

dz π + zy<br />

222<br />

)(<br />

Fig. 2 plots the y-direction gradient of the y-component of<br />

the magnetic field surrounding a single wire.<br />

In case of a two wire appliance cord, we define a as the<br />

distance between the center of the appliance cord and the center<br />

of right cord or lift one. Then, we induct the z-direction gradient<br />

of the y-component of the magnetic field surrounding a<br />

two-wire appliance cord by Equation (4) which reported in our<br />

Fig. 3. Plot of the magnitude of the vertical component of<br />

z-direction magnetic field gradient around a two-wire appliance cord,<br />

10 A current assumed.<br />

IV.<br />

DEMONSTRATION MEASUREMENTS BY USING A<br />

MACRO-SCALE DC SENSOR DEVICE<br />

Generally speaking, when a direct current is applied to the<br />

appliance cord, the output signal from the proposed cantilever<br />

based MEMS DC sensor is supposed to be very impulsive.<br />

Therefore a macro-scale device, as shown in Fig. 4, has been<br />

fabricated to demonstrate whether the output signal arising<br />

from direct current can be measured or not. The macro-scale<br />

232


device consisted of a bimorph cantilever with a permanent<br />

magnet located at the cantilever tip. The size of the bimorph<br />

and the permanent magnet is 28 × 13.4 × 9 (mm 3 ) and 5 × 5<br />

× 2 (m 3 ), respectively. The remanence magnetization B r is<br />

assumed as 1.2 T. Fig. 5 shows a simple measurement set-up<br />

for preliminary demonstration by the macro-scale device. The<br />

output voltage, arising from the direct current supplied by a DC<br />

power supply (AND Co. AD-8735A, JPN), is measured by an<br />

oscilloscope (Tektronix Co. TDS2014, USA). The applied<br />

direct current is measured by a current probe (Tektronix Co.<br />

TCP312, USA). The output voltage and the applied direct<br />

current were measured with a 2msec sampling interval.<br />

However, the center of the permanent magnet is located at 25.5<br />

mm from the base of cantilever and 4.1 mm from the center of<br />

the appliance cord.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

impulse signal and the applied DC current. The sensitivities of<br />

the macro-scale device were derived as around 7 mV/A and 5<br />

mV/A for the cases of turning on and turning off the DC power<br />

supply, respectively.<br />

Fig. 6. A typical measurement showing the output voltage impulses when a<br />

direct current of 2A was applied to a two-wire appliance cord (ON), and when<br />

the applied current was discontinued (OFF), respectively, as shown in Fig. 5.<br />

The output voltage from the current probe was also drawn for comparison.<br />

Fig. 4. A macro-scale prototype DC current sensor with a permanent magnet<br />

on the tip of the piezoelectric bimorph cantilever was fabricated<br />

for demonstration measurements.<br />

Fig. 7. The peak values (in error bar) of the output voltage impulse as a function<br />

of the applied direct current, as measured in Fig. 6.<br />

V. DESIGN OF MEMS-SCALE DC SENSOR DEVICES<br />

Fig. 5. Measurement set-up for demonstration measurements by the fabricated<br />

macro-scale device shown in Fig. 4.<br />

As a result, we succeeded in measuring the impulsive values<br />

of the output voltage by the macro-scale device for the first time.<br />

Fig. 6 typically shows that the output voltage impulse signal was<br />

clearly detected out when 2A was applied to a two-wire<br />

appliance cord. The peak value was measured as -10 mV when<br />

turning on the DC power supply, while that was measured as 17<br />

mV when turning off the DC power supply. The output voltage<br />

impulse signal converged to zero within 0.07 sec. Such<br />

measurement can be conducted from a lower applied current of<br />

0.5 A to a higher one of 3 A. Fig. 7 shows a linear relation<br />

between the absolute peak values (in error bar) of output voltage<br />

A. StructuralDesign with An Applicable Approach<br />

We assume that the measurement system for the<br />

MEMS-scale DC sensor employ a microcomputer built-in A/D<br />

converter with the resolution capability of 12 bit and the<br />

reference voltage of 3 V. In the case of future DC houses, it is<br />

also reasonable to further assume that a direct current supplied<br />

to the home electrical appliance is in the range of 0.04 A to 10<br />

A. It is therefore very crucial to carry on such kind of a<br />

structural design to make the MEMS-scale DC sensor not only<br />

measure a detectable impulse signal of over 0.74 mV even<br />

when a very lower direct current of 0.04A is applied, but also be<br />

able to bear off the stronger bending when a higher direct<br />

current of 10 A is applied. Generally, the piezoelectric sensors<br />

work with a charge amplifier driven by an electrical power. It is<br />

thus necessary to design a novel sensor device which can<br />

generate enough high voltage by itself so as to meet our future<br />

powerless working requirement.<br />

Fig.8 gives a schematic showing such kind of design<br />

233


approach. In order to increase the output voltage, the logic is to<br />

fabricate more PZT plates on the substrate and connect them in<br />

series with each other. As shown in Fig.8, l and L m are the<br />

length of the PZT plate and space for magnet, respectively. w<br />

and φ are the width of the cantilever and the space between<br />

neighboring PZT plates. However, a novel device design aimed<br />

to accomplish a high output voltage will be theoretically and<br />

quantitatively discussed in detail in the following Session.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

where F z is the magnetic force when counterpoises restoring<br />

force of cantilever. E i and E p are Young’s moduli of each layer<br />

and PZT thin film, respectively. A i is the z-y cross-section area<br />

of the each layer and is expressed as follows.<br />

i<br />

= φ−− }) hnwA<br />

1({<br />

(9)<br />

where h i is the thickness of each layer, while φ = 0 in case of z-y<br />

cross-section of the substrate. In Equation (8), Z p is the distance<br />

between the position z p of center of the PZT thin films and the<br />

position z N of neutral axis parallel to the length direction of<br />

cantilever and can be expressed as Equation (10). Z i is the<br />

distance between the position z i of center of the each layer and<br />

the neutral axis parallel to the length direction of cantilever and<br />

expressed as Equation (11),<br />

i<br />

−= zzZ (10)<br />

Npp<br />

−= zzZ (11)<br />

Nii<br />

Fig. 8. A schematic figure showing the PZT plates and definitions of various<br />

parameters used in the following theoretical derivation.<br />

B. Theoretical and Quantitative Derivation of the output<br />

voltage<br />

We define z axis, y axis, x axis and the origin of the z axis as<br />

the axis vertical to the surface (thickness direction), the width<br />

direction, the length direction and the bottom of the cantilever<br />

in Fig. 8, respectively. Also, the width of individual PZT plate<br />

w E is expressed by the following Equation (5).<br />

where the neutral axis z N is expressed as follows [7],<br />

∑i<br />

∑<br />

AEz<br />

iii<br />

z<br />

N<br />

=<br />

(12)<br />

AE<br />

i<br />

ii<br />

The accumulated charge of individual PZT plate can then be<br />

expressed as follows by Equations (6), (7), (8).<br />

− nw<br />

w − φ) 1(<br />

E<br />

= (5)<br />

n<br />

The accumulated charge in individual PZT plate is<br />

expressed as follows from Gauss’s law:<br />

Q<br />

ind<br />

∫∫<br />

= Ddwdl<br />

(6)<br />

l w<br />

where D is the electrical-field displacement in z-direction. For<br />

piezoelectric sensing, the electrical-field displacement D<br />

without applying any external electrical field is described by<br />

Equation (7):<br />

= dD<br />

31σ p<br />

(7)<br />

where d 31 and σ are transverse piezoelectric constant<br />

(-50pmV -1 ) and x-direction stress when applied bending<br />

moment on the tip of cantilever, respectively. Also, x-direction<br />

stress σ is expressed as follows [6],<br />

i<br />

E<br />

1<br />

31<br />

1<br />

Vtotal<br />

= 2<br />

(<br />

mpp<br />

+ ) FlLZE<br />

z<br />

∑ +<br />

i<br />

σ = 2<br />

(8)<br />

2<br />

∑ ( + ZAIE<br />

iiii<br />

)<br />

Q<br />

ind<br />

=<br />

31<br />

1<br />

∑<br />

2<br />

+<br />

i<br />

+ )(<br />

l wl<br />

F (13)<br />

ZAIE<br />

)(<br />

mpp<br />

E<br />

2 z<br />

iiii<br />

When n pieces of PZT plates are electrically connected in<br />

series, the total accumulated charge Q total is equivalent to the<br />

accumulated charge Q ind in individual PZT plate.<br />

ind<br />

= QQ (14)<br />

total<br />

Therefore, the wider the width of the PZT plate, the more<br />

increment the charge of the device.<br />

The output voltage can be expressed by Equation (14),<br />

+ )(<br />

l wlL<br />

1<br />

F (15)<br />

ZAIE<br />

)(<br />

CC<br />

mpp<br />

E<br />

2<br />

z<br />

iiii<br />

total<br />

+<br />

others<br />

234


where C others is the capacitance of the measurement system.<br />

C total is the total capacitance of the device and is expressed by<br />

Equation (16).<br />

Cind<br />

Ctotal = (16)<br />

n<br />

The capacitance of individual PZT plate C ind is given by<br />

S<br />

E<br />

Cind<br />

= εε<br />

(17)<br />

0<br />

hp<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig. 12 shows a typical design of our MEMS DC sensor<br />

consisting of ten-PZT plates. Electrical connects in series by<br />

wire bonding for signal amplification will be operated. The<br />

square space Pt/Ti is the space for positioning the permanent<br />

magnet. The total output voltage V total in Fig. 12 generates 3.3<br />

mV when the appliance cord is supplied by 0.04 A. On the<br />

other hand, the tensile stress acted in the substrate is calculated<br />

as around a critical value of 9 MPa if applied a current of 10 A.<br />

This fact implies that substrate materials should have a<br />

sufficient tensile yield stress higher than the above mentioned<br />

critical value.<br />

where ε and ε 0 are the vacuum dielectric constant (8.85×<br />

10 -12 Fm -1 ) and relative dielectric constant (1000) of PZT thin<br />

films, respectively. S E is the area of the electrode and h p is the<br />

thickness of the PZT thin films. Therefore, in order to obtain a<br />

high V total in Equation (15), we need to decrease the capacitance<br />

C ind and increase PZT plates at the same time.<br />

We thus turn to calculate Q total , C total , V total to design the<br />

MEMS DC sensor by Equations (5)-(17). The size of cantilever<br />

is chosen as 4300 μm×4000 μm to withstand the magnetic<br />

force when a current of 10A is applied. The space between two<br />

PZT plates φ is 190 μm. The magnetic force is calculated under<br />

the current of 1A and the center of magnet is located at 3.8 mm<br />

from the center of the appliance cord. In this calculation, we<br />

ignore C others in Equation (15). Table 1 and Table 2 show values<br />

of h i , E i , z i for each layer of the MEMS DC sensor and values of<br />

w E , z N , Z p with respect to number of PZT plates, respectively.<br />

Fig. 9, Fig. 10, and Fig. 11 show the results of Q total , C total , and<br />

V total , respectively. It can be noted from Fig. 9 and Fig. 10, the<br />

more the PZT plates, the more decrease the values of Q total and<br />

C total . However, since the decrease of C total is greater than that of<br />

Q total , an increasing behavior can be observed for V total from Fig.<br />

11. Therefore, we consider it would be effective to<br />

simultaneously increase number of PZT plates and decrease w E ,<br />

φ by micromachining to achieve a high output voltage DC<br />

sensor.<br />

Fig. 9. Calculated Q total as a function of number of PZT plates.<br />

Table 1. Values of h i , E i , z i for each layer of the MEMS DC sensor.<br />

Fig. 10. Calculated C total as a function of number of PZT plates.<br />

i material h i (μm) E i (μm) z i (μm)<br />

top 5 top Pt/Ti 0.2 168 6.6<br />

4 PZT 2 72.5 5.5<br />

3 bottom Pt/Ti 0.2 168 4.4<br />

2 thermal SiO 2 0.3 73.1 4.15<br />

bottom 1 structure Si 4 190 2<br />

Table 2. Values of w E , z N , Z p with respect to number of PZT plates.<br />

Number of PZT plates w E (μm) z n (μm) Z p (μm)<br />

10 220 2.54 2.96<br />

8 323 2.60 2.90<br />

6 473 2.63 2.87<br />

4 835 2.71 2.79<br />

2 1860 2.76 2.74<br />

1 3910 2.78 2.72<br />

Fig. 11. Calculated C total as a function of number of PZT plates<br />

235


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig.12. A typical design of MEMS DC sensor consisted of ten beam-type PZT<br />

plate array for further verification.<br />

VI.<br />

MICROFABRICATION OF PROTOTYPE MEMS-SCALE DC<br />

SENSOR DEVICES<br />

As shown in Fig. 13, the prototype devices are fabricated<br />

from multilayer of Pt/Ti/PZT/Pt/Ti/SiO 2 deposited on<br />

silicon-on-insulator (SOI) wafers using a 5-mask<br />

micromachining process [8][9]. Deposition of the multilayer is<br />

started from thermal oxidation of SOI wafers followed by Pt/Ti<br />

bottom electrodes deposition. After (100)-oriented PZT thin<br />

film is deposited by a sol-gel process [10], Pt/Ti top electrodes<br />

are then sputtered.<br />

The etching process is as follows. Pt/Ti top electrodes are<br />

first etched by an Ar ion through mask No.1. PZT thin films are<br />

then wet-etched with an aqueous solution of HF, HNO 3 , and<br />

HCl through mask No.2. After Pt/Ti bottom electrodes are<br />

etched by an Ar ion through mask No.3, both the thermal SiO 2 ,<br />

structural Si and buried oxide (BOX) are etched by RIE with<br />

CHF 3 gas (SiO 2 ) and SF 6 gas (Si) through (mask No.4). Finally,<br />

the substrate Si is etched from the backside to release the<br />

cantilever through mask No.5.<br />

Fig. 14 shows the fabricated MEMS DC sensor device. It<br />

can be noted that the cantilever are warped due to the BOX<br />

layer remained on the backside.<br />

Fig.13. Schematic diagram of the fabrication process chart. (a)<br />

Pt/Ti/PZT/Pt/Ti/SiO2 deposition, (b) Pt/Ti/PZT/Pt/Ti/SiO2 etching, (c)<br />

cantilever patterning, (d) substrate etching from backside to release cantilever.<br />

Fig. 14. The optical micrograph (Top view) of the fabricated MEMS DC sensor<br />

device.<br />

VII. CONCLUSIONS<br />

A non-drive, non-contact prototype MEMS-scale DC<br />

electric current sensor has been theoretically studied,<br />

geometrically designed and preliminarily fabricated for a<br />

measurement range of 0.04 A to 10 A. It would be effective to<br />

simultaneously increase number of PZT plates and narrow both<br />

electrode width and space between neighboring PZT plates by<br />

micromachining to achieve a high output voltage DC sensor.<br />

Based on a handmade macro-scale prototype DC sensor, we<br />

also succeeded in detecting out the impulsive values of the<br />

output voltage for a current range of 0.5 A to 3 A for the first<br />

time.<br />

ACKNOWLEDGEMENT<br />

Part of this work was supported by MEMS Inter University<br />

Network and performed in the Ubiquitous MEMS & Micro<br />

Engineering Research Center (UMEMSME) of National<br />

Institute of Advanced Industrial Science & Technology<br />

(AIST).<br />

REFERENCES<br />

[1]Y. Zhang, S.Uchiyama, D.K.Lee, H.Hiroshima, T.Itoh, R.Maeda<br />

International Workshop on Green Device and Micro Systems GDMS<br />

2011(10pp)<br />

[2]E S Leland, P K Wrigth and R M White J.Microelectromech. Microeng. 19<br />

(2009) 094018 (6pp)<br />

[3]M.V.Shutov, E.E. Sandoz, D.L.Howard, T.C. Hsia, R.L. Smith, S.D. Collins<br />

Sensors and Actuators A 121 (2005) 566-575<br />

[4]H.H Yang, N.V.Myung, J.Yee, D.-Y. Park, B.-Y. Yoo, M. Schwartz, K.<br />

Nobe, J.W.Judy Sensors and Actuators A 97-98 (2002) 88-97<br />

[5]Kohei Isagawa, Dong F.Wang, Takeshi Kobayashi, Toshihiro Itoh, Ryutaro<br />

Maeda JCK MEMS/NEMS 2010 (P-05)<br />

[6]Qiang Zou, Wei Tan, Eun Sok kim and Gerald E.Loed J.Microelectromech<br />

Syst., vol.17, no.1, pp45-57,Feb.2008<br />

[7]T Kobayashi, H Okada, T Masuda and R Meada, T Itoh Smart mater.<br />

Struct.19(2010) 105030 (8pp)<br />

[8]M.S.Weinbeng, J.Microelectromech. Syst., vol.8, no.4,<br />

pp529-533,Dec.1999.<br />

[9]Kobayashi T, Ichiki M, Kondou R, Nakamura K and Maeda R 2007 j.<br />

Micromech. Microeng. 17 1238<br />

[10]Kobayashi T, Ichiki M, Tsaur J and Maeda R 2005 Thin Solid Films 489 74<br />

236


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Low Power Analog to Digital Convertor with Digital<br />

Calibration for Sensor Network<br />

Tsukasa Fujimori, Hiroshi Imamoto, Hideaki Kurata, Yasushi Goto, Toshihiro Ito, Ryutaro Maeda<br />

BEANS Laboratory G device Center<br />

G Device Research Body Ubiquitous MEMS and Micro Engineering R.C.<br />

1-2-1, Namiki, Tsukuba, Ibaraki 305-8564, JAPAN<br />

Abstract- A low-power analog-front-end (AFE) LSI for<br />

sensor networks—based on an analog-to-digital convertor<br />

(ADC) with digital calibration—was developed. Power<br />

consumption of the ADC in the AFE LSI was reduced by<br />

applying digital calibration. As a result, the proposed<br />

successive approximation register (SAR) ADC achieves both<br />

high effective resolution (11.7 bits) and extremely low power<br />

consumption (2.5 mW) at 1 Msps. Moreover, average power<br />

consumption of the AFE LSI (including the ADC) is about 5<br />

μW, which is low enough for sensor networks.<br />

I. INTRODUCTION<br />

Energy-saving technologies for CO2 emission reduction<br />

have become ever more important in recent years. Sensor<br />

networks have been expected to provide one of the<br />

most-promising solutions for energy saving. However, the<br />

large physical size and high power consumption of sensor<br />

nodes have been major constraints on the widespread usage of<br />

sensor networks.<br />

Sensor nodes generally consist of batteries, wireless circuits,<br />

a microcomputer, sensors, and analog-front-end (AFE) circuits.<br />

The wireless circuits and the microcomputer utilize<br />

deep-submicron CMOS technology to reduce power<br />

consumption. On the other hand, the power consumption of<br />

the AFE circuits has not been reduced. It is not easy to apply<br />

deep-submicron CMOS technology for the AFE circuits,<br />

which include amplifiers and analog-to-digital converters<br />

(ADCs), because the analog circuits that compose the AFE<br />

circuits are sensitive to process variation. It is therefore<br />

essential to develop low-power AFE circuits for sensor<br />

networks.<br />

Responding to the above-mentioned need, in the present<br />

study, we developed a low-power AFE LSI for a sensor<br />

network by utilizing an ADC with digital-calibration, which<br />

are generally used for developing high speed and high<br />

accuracy ADC circuits. Power consumption of the ADC in the<br />

AFE circuits was reduced by applying digital-calibration<br />

techniques. As a result, an ADC with high effective resolution<br />

of 11.7 bits and extremely low power consumption of 2.5 mW<br />

at 1 Msps (mega samples per second) was developed.<br />

Moreover, average power consumption of the AFE LSI<br />

(including the ADC) is about 5 μW, which is low enough for<br />

sensor networks.<br />

II. TARGET OF AFE LSI FOR SENSOR NETWORK<br />

Figure 1 shows a block diagram of the AFE LSI, which<br />

consists of an ADC, a programmable gain amplifier (PGA), a<br />

voltage-reference (Vref) generator, a clock generator, and<br />

digital interface circuits. The ADC is a successive<br />

approximation register (SAR) type [2]. The AFE LSI<br />

amplifies analog signals from the sensors and converts them<br />

into digital signals. Note that most of the required periphery<br />

circuits for these circuits are also built-in.<br />

Table 1 lists the performance targets for the developed AFE<br />

LSI. Resolution of the ADC of 14 bits, effective resolution of<br />

ADC of 11 bits or more, and sampling rate of the ADC of 1<br />

Msps all exceed the performance of the ADC generally used<br />

by sensor nodes, and the target power consumption is below<br />

10 mW (which is the approximate power consumption at the<br />

time of operation). Furthermore, the target average power<br />

consumption when performing one sampling per second is 10<br />

μW or less. These power consumptions are one half or less<br />

than those of present AFE circuits.<br />

Sensor A<br />

Sensor B<br />

Sensor C<br />

Sensor D<br />

M<br />

UX<br />

M<br />

UX<br />

Digital interface circuits MCU<br />

Figure 1.<br />

PGA<br />

Vref generator<br />

SAR ADC with<br />

digital calibration<br />

Clock generator<br />

Block diagram of AFE LSI<br />

Table 1. Targets of the AFE LSI for sensor network<br />

Targets<br />

Resolution<br />

14 bits<br />

(effective resolution >11 bits)<br />

Sample rate<br />

1 Msps<br />

Power (active)<br />


11-13 <br />

May 2011, Aix-en-Provence, France<br />

If these power consumptions of the AFE LSI were achieved<br />

<br />

6<br />

in practice in the future, the frequency of replacing batteries of<br />

sensor nodes could be decreased; moreover, sensor nodes with<br />

5<br />

a low-power AFE LSI could be operated by energy-harvesting<br />

devices [3]. However, if the area of the chip increases, its cost<br />

4<br />

will also increase, even though the chip may be highly<br />

efficient, and it would not be practical. The target for the<br />

3<br />

effective area was therefore set at less than 2.25 mm 2 .<br />

III. DESIGN OF LOW-POWER SAR ADC WITH DIGITAL<br />

CALIBRATION<br />

We investigated reducing supply voltage as an approach to<br />

lower the power consumption without losing performance.<br />

This is because the power consumption of CMOS circuits is<br />

generally proportional to the square of the voltage.<br />

According to the International Technology Roadmap for<br />

Semiconductors (ITRS), supply voltages and leakage<br />

currents of the CMOS circuits are shown in figure 2 [4].<br />

The horizontal axis is the gate length of the CMOS<br />

transistors, the left vertical axis is supply voltage, and the<br />

right vertical axis is leakage current. Low supply voltage has<br />

become standard with the development of CMOS processes.<br />

For example, 1.2 V is used for gate lengths less than 130 nm.<br />

However, the smaller the gate length of the transistors<br />

becomes, the more the leakage current increases.<br />

In this paper, we chose the 130-nm process for AFE LSI,<br />

because it provides the lowest leakage current in supply<br />

voltage of 1.2V.<br />

At present, the power-supply voltage of sensor nodes is in<br />

the range of 2 to 5 V. If sensor nodes could be operated at 1.2<br />

V, power consumption would be 30 to 90% lower than the<br />

present level. However, it is not easy to reduce the supply<br />

voltage of the AFE LSI. It is especially difficult to reduce the<br />

supply voltage of the ADC circuits.<br />

Figure 3(a) shows a block diagram of conventional SAR<br />

ADC [2]. The ADC consists of an analog-circuits part and a<br />

digital-circuits part. The voltage of incoming signals is<br />

compared with the voltage generated by the digital-to-analog<br />

circuit (DAC), and the result of the comparison is set to the<br />

successive approximation register (SAR). The voltage<br />

generated by the DAC is changed in order and the<br />

comparison is repeated, so data of analog-to-digital<br />

conversion is obtained.<br />

The supply voltage of the digital circuits can be reduced<br />

easily. However, if the supply voltage decreases, the<br />

operational margin of the analog circuits also decreases; the<br />

accuracy of the DAC is degraded. As a result, the resolution<br />

of SAR ADC degrades at low supply voltage. As a<br />

countermeasure to this difficulty, huge capacitors are usually<br />

used for standard SAR ADC to suppress the capacitor<br />

mismatch derived from process variation. This leads to large<br />

area of SAR ADC for 1.2-V operation. To solve this problem,<br />

we applied digital calibration technique to SAR ADC for<br />

sensor networks.<br />

Figure 3(b) shows the SAR ADC with digital calibration,<br />

which is performed by using digital circuits and software<br />

algorithms to cancel errors that occur in analog operation [1].<br />

Supply voltage (V)<br />

2<br />

1<br />

0<br />

1000 500 350 230 180 130 90 65 45<br />

Gate length (nm)<br />

Figure 2. supply voltages and leakage currents of<br />

the CMOS circuit<br />

(a) without digital calibration technique<br />

(b) with digital calibration technique<br />

Figure 3. Block diagram of SAR ADC<br />

Figure 4. Chip layout of SAR ADC<br />

120<br />

100<br />

80<br />

60<br />

40<br />

20<br />

0<br />

Comparator<br />

Leakage current (pA)<br />

Digital<br />

circuits<br />

238


11-13 <br />

May 2011, Aix-en-Provence, France<br />

One complete conversion process consists of two conversion<br />

<br />

phases, in which a single SAR quantizer performs the same<br />

digitization twice, perturbed by two added offsets, +Δ and -Δ,<br />

and resolves to two non-binary codes, D+ and D-,<br />

SAR ADC<br />

respectively. These codes are subsequently converted to<br />

1.6 m m 2<br />

binary ones, shown as d+ and d-, by a weighted sum of the<br />

individual bits. If the conversion process is ideal, the<br />

DAC<br />

difference e between d+ and d- less 2Δ must be zero. In other<br />

words, a nonzero e will provide information to infer the<br />

PG A LOGIC<br />

unknown weighting vector W and Δ; and this idea leads to<br />

the adaptive learning algorithm to calibrate the SAR ADC in<br />

which e is gradually forced to zero. After the learning<br />

procedure converges, the mean of d+ and d- will yield the<br />

Vrefgenerator<br />

correct digital output with the effect of Δ cancelled.<br />

Since errors of the DAC are canceled, digital calibration<br />

Clock generator<br />

technique decreases capacitance required for DAC. As a<br />

result, both small area and low power consumption of SAR<br />

Figure 5. Chip photo graph of our AFE LSI<br />

ADC is achieved.<br />

Figure 4 shows the layout of the SAR ADC with digital<br />

calibration. The ADC can be operated at 1.2 V and is<br />

calibrated to sufficient accuracy. Nevertheless, the size of the<br />

ADC is very small, namely, about 1.6 mm 2 , which is reduced<br />

SNDR = 62.4 dB (10.1 bit)<br />

by about 90% of the standard size.<br />

To sum up, a low-power-consumption ADC with very high<br />

performance was achieved by the digital calibration.<br />

IV. AFE LSI FOR SENSOR NETWORK<br />

Figure 5 shows a chip photograph of the AFE LSI, which<br />

was fabricated by using the 0.13-μm CMOS process. The chip<br />

size is 4 by 4 mm, and the effective chip size (including PGA,<br />

DAC, SAR ADC, clock generator, reference voltage generator,<br />

and logic circuits) is about 2.3 mm 2 . The AFE LSI's clock<br />

frequency is 20 MHz.<br />

Figure 6 shows measured spectra of the ADC of the AFE<br />

LSI signals, namely, a sine wave of 1 kHz and 90% of<br />

full-scale voltage. Figure 6(a) is the spectrum obtained without<br />

digital calibration, and Figure 6(b) is the spectrum obtained<br />

with digital calibration. From these spectra, signal-to-noise<br />

and distortion ratios (SNDRs) were estimated as 62.4 dB (10.1<br />

bits) in the case without digital calibration and 72.0 dB (11.7<br />

bits) in the case with digital calibration. That is, the accuracy<br />

of the ADC with digital calibration is increased about three<br />

times compared to that of the ADC without digital calibration.<br />

Evaluation results of effective size and power consumption<br />

for each circuit block are listed in Table 2. The power<br />

consumption of the ADC is about 2.5 mW, and the total power<br />

consumption of the AFE LSI is about 6.4 mW. Moreover,<br />

average power consumption of the AFE LSI at sampling rate<br />

of one sample per second was estimated to be about 5 μW,<br />

which is small enough compared to that of AFE circuits of<br />

general sensor nodes. In other words, the total of power<br />

consumption of the AFE LSI is considerably decreased while<br />

small chip size was maintained. The developed AFE LSI is<br />

thus considered suitable for sensor nodes.<br />

(a) without digital calibration<br />

SNDR = 72.0 dB (11.7 bit)<br />

(b) with digital calibration<br />

Figure 6. Spectrum of the SAR ADC. (Voltage of<br />

input signals are 90 % of full scale voltage.)<br />

239


Table 2. Evaluation results of the AFE LSI<br />

power<br />

consumption<br />

(mW)<br />

effective<br />

chip size<br />

(mm 2 )<br />

Logic 0.0 0.27<br />

Vref generator 1.2 0.11<br />

Clock generator 0.2 0.0081<br />

PGA 2.5 0.30<br />

ADC 2.5 1.6<br />

Total 6.4 2.3<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

V. SUMMARY<br />

A low-power-consumption and highly accurate<br />

analog-front-end (AFE) LSI for sensor nodes was<br />

developed. Digital calibration expanded the operational<br />

margin of the analog circuits of the successive<br />

approximation register (SAR) analog-digital converter<br />

(ADC) in the LSI at low supply voltage. As a result, the<br />

proposed SAR ADC achieves both high effective resolution<br />

(11.7 bits) and extremely low power consumption (2.5<br />

mW); accordingly, it is suitable for sensor networks.<br />

ACKNOWLEDGMENT<br />

I am grateful to Dr. Takashi Oshima, who provided his<br />

carefully considered feedback and valuable comments. I<br />

also acknowledge the staff of the LSI Design and<br />

Fabrication Dept. of Hitachi ULSI Systems Co., Ltd. This<br />

work was supported by the New Energy and Industrial<br />

Technology Development Organization.<br />

REFERENCES<br />

[1] W. Liu, P. Huang, and Y. Chiu, “A 12b 22.5/45 MS/s 3.0 mW<br />

0.059 mm 2 CMOS SAR ADC Achieving Over 90dB SFDR,”<br />

ISSCC Dig. Tech. Papers, pp. 380-381, Feb. 2009.<br />

[2] J. L. McCreary and P. R. Gray, “All-MOS charge redistribution<br />

analog-to-digital conversion techniues—Part I,” IEEE J.<br />

Solid-State Circuits, vol. SC-10, no. 6, pp. 371–379, Dec. 1975.<br />

[3] R. Elfrink, et al., “First Autonomous Wireless Sensor Node<br />

Powered by a Vacuum-Packaged Piezoelectric MEMS Energy<br />

Harvester,” IEEE International Electron Devices Meeting,<br />

pp. 543-546, Dec. 2009.<br />

[4] International Technology Roadmap for Semiconductors 2009<br />

240


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Large Area Adaptative Fluidic Lens<br />

Solon Mias [1,2], Aurélien Bancaud [1,2], Henri Camon [1,2]<br />

1 CNRS-LAAS, 7 avenue du colonel Roche, F-31077 Toulouse<br />

2 University of Toulouse; UPS; INSA; INP; ISAE; LAAS; F-31077 Toulouse, France<br />

Abstract- We have developed a large area (30mm diameter)<br />

adaptive fluid-lens using simple fabrication procedures that do<br />

not require elaborate micro-fabrication techniques. The lens<br />

structure consists of a 2mm thick liquid reservoir which is<br />

sandwiched between a solid borosilicate glass substrate, a thick<br />

PDMS-elastomer side-wall spacer and a more flexible PDMScoated<br />

PET membrane. The reservoir is connected via a 0.3<br />

mm tube to a commercially available micro-pump used to alter<br />

the pressure within the reservoir, thus altering the surfacecurvature<br />

of the PET membrane and at the same time the<br />

optical power of the lens. The lens focal length can be changed<br />

from infinity to 0.5m.<br />

I. INTRODUCTION<br />

Ophthalmic glasses are one of the oldest portable devices.<br />

Even so, they have remained mostly the same over hundreds<br />

of years consisting of a rigid structure with fixed focal<br />

length. Recently, lenses with variable focal distances<br />

(bifocal and progressive lenses) have been developed<br />

particularly for people suffering from presbyopia [1].<br />

Presbyopia is a condition mostly occurring due to aging of<br />

the human eye and where the eye’s ability to accommodate<br />

is reduced [2]. Other recent developments include the<br />

creation of microstructures on a lens in order to produce a<br />

customized lens [3]. Even so, the above structures are rigid<br />

and their focal length and wavefront correction abilities are<br />

determined by the fabrication.<br />

Adaptive lenses on the other hand have the ability to tune<br />

their focal length according to the needs of the user. Many<br />

such devices have been developed using a variety of<br />

technologies such as liquid crystal devices [4-11],<br />

electrowetting devices [12, 13] and micro-fluidic devices<br />

[14-17]. Liquid crystal adaptive lenses rely on the<br />

birefringence of the liquid crystal mixtures used in order to<br />

create a refractive index modulation within the lens which<br />

then translates into a phase change of the propagating light.<br />

These lenses are limited by the birefringence and the<br />

thickness of the liquid crystal layer. In addition, they exhibit<br />

diffraction effects due to the electrodes used to spatially<br />

address the liquid crystal layer. Different electrode shapes<br />

[18] and variable resistivities [6] have been developed in<br />

order to reduce the diffraction effects but in the expense of<br />

greater fabrication complexity. Finally, the greatest problem<br />

with liquid crystal lenses is their polarization sensitivity.<br />

Therefore two liquid crystal layers are required in order to<br />

modulate all light-polarizations and this increases<br />

fabrication complexity even further, particularly due to the<br />

need of fine alignment between corresponding pixels on<br />

each layer.<br />

Electrowetting devices on the other hand do not suffer<br />

from polarization sensitivity. Two companies have been<br />

recently involved in the fabrication of electrowetting lenses,<br />

namely Varioptic [13] and Philips [12]. Both companies use<br />

two non miscible liquids; an aqueous conducting solution<br />

along with insulating oil of the same density. The liquids<br />

are inserted within a closed cell with appropriately placed<br />

electrodes. The use of two liquids instead of one (e.g. water<br />

in air) is necessary for suppressing any optical distortion of<br />

the gravity on the liquid-liquid interface. The angle of the<br />

conducting fluid with the cell wall changes when an electric<br />

field is applied to the cell. Hence, as the voltage changes,<br />

the curvature of the interface between the two liquids is also<br />

changed. Hence a lens is formed which can be tuned from<br />

convex to concave using appropriate voltage levels.<br />

Unfortunately, devices produced are limited to small active<br />

areas due to distortions on the interface between the two<br />

liquids. Therefore both Varioptic and Philips lenses are<br />

mostly destined for the mobile phone industry rather than<br />

ophthalmic optics.<br />

Fluid-lens devices are also polarisation insensitive. The<br />

tuning of their focal length is achieved by altering the<br />

pressure within a liquid reservoir which has at least one wall<br />

made out of a flexible membrane (usually PDMS). When<br />

the pressure inside the cell is below the atmospheric<br />

pressure, the device turns into a concave lens. As the<br />

pressure increases above the atmospheric pressure then the<br />

lens turns into a convex lens. The change of the pressure<br />

within the lens can be tuned using a micro-pump, a syringe<br />

or a volume changing material [15]. The diameter of the<br />

lenses produced are relatively small (200µm [19] to 20mm<br />

[17]). The uniformity of the devices can be a problem. For<br />

example, PDMS non-uniformity during the membrane<br />

fabrication can cause defects of up to 4µm [19]. Also if the<br />

membrane of the lens is too flexible then the weight of the<br />

liquid can distort the normally-spherical shape of the<br />

membrane when the lens is used in a non-horizontal<br />

position.<br />

In this publication we describe the fabrication of a large<br />

area adaptive fluid-lens using simple fabrication procedures<br />

that do not require elaborate micro-fabrication techniques.<br />

The membrane of the lens is made out of PDMS-coated<br />

241


11-13 <br />

May 2011, Aix-en-Provence, France<br />

PET layer which is solid relative to a single PDMS<br />

<br />

PDMS<br />

membrane of the same thickness. Therefore the lens<br />

membrane exhibits good uniformity despite its large area.<br />

spacer wall<br />

II. DEVICE FABRICATION<br />

Across section of the produced adaptive lens is shown in<br />

Fig.1. Firstly a 2mm thick PDMS layer is fabricated using a<br />

mixture of PDMS base 184 from Dow Corning and crosslinking<br />

agent at a mass ratio of 10 to 1. The largest part of<br />

the mixture is degassed within a cylindrical tank and leave<br />

more than one hour to allow a natural spread by gravity of<br />

the material into the tank. Thus we can get a very flat<br />

surface. Then the material is cured for an hour at 70°C<br />

within the cylindrical container for reticulation. The<br />

thickness is calculated by knowing the diameter of the<br />

cylindrical tank and the weight difference before and after<br />

filling. After reticulation the PDMS is removed from the<br />

container. A circular hole of 30mm is then opened through<br />

the spacer to form the reservoir side-walls. A 6mm channel<br />

long is also formed from the inner to the outer wall of the<br />

spacer in order to accommodate two tubes as shown in<br />

Fig.1(a). The tubes have inner diameter of 0.3mm and outer<br />

diameter of 1.5mm. These manufacturing steps can be<br />

easily enhanced by the completion of a resin mold (in SU-8<br />

for example) on a silicon or glass wafer. The remaining<br />

non-cured PDMS mixture is also degassed and then spin<br />

coated on to a 75µm thick PET layer at a speed of 1200 rpm<br />

for 20 seconds. (PDMS thickness on PET 50 µm) The<br />

PDMS-coated PET is also placed at 70°C for an hour in<br />

order to cure the PDMS coating. The reason for using the<br />

PET layer is for increasing the rigidity of the flexible<br />

membrane of the lens. This eliminates any non-uniformity<br />

on the membrane surface due to the fabrication and due to<br />

the weight of the liquid as the lens is placed vertically. The<br />

PDMS coating of the PET is used for adhesion purposes. To<br />

elaborate further, the PDMS spacer and the PDMS-coated<br />

PET are etched using oxygen plasma. The oxygen plasma of<br />

PDMS results in the creation of free radicals on the PDMS<br />

surface. After the plasma etching, the PDMS spacer is<br />

placed on top of the PDMS-coated PET so as to be in<br />

contact with the spin-coated PDMS layer. The present of the<br />

free radicals ensures a strong adhesion between the two<br />

PDMS surfaces.<br />

The Young’s modulus of PDMS is in the range of MPa<br />

(0,2 Mpa more precisely) while that of PET is about 3000<br />

Mpa, ie three decade above. As the thicknesses have the<br />

same order of magnitude (50 µm for PDMS and 75 µm for<br />

PET), the deformation is overwhelmingly governed by the<br />

properties of PET.<br />

The sealed reservoir is then filled with de-ionized water<br />

using a commercially available micro-pump, produced by<br />

Bartels Mikrotechnik GmbH. The micro-pump is connected<br />

to one of the two tubes and the second tube is used for<br />

allowing the air to exit from the sealed reservoir. The<br />

introduction of the liquid in the reservoir is shown in Fig.2.<br />

Fig.2 (d) shows that no air remains within the reservoir at<br />

the end of the process. The internal dimensions of the<br />

system are large enough not to ask problems due to<br />

capillary forces.<br />

Glass<br />

Substrate<br />

PDMS<br />

coating<br />

PDMS<br />

spacer wall<br />

Glass Substrate<br />

Tubes<br />

(a)<br />

Liquid<br />

reservoir<br />

PET<br />

(b)<br />

Liquid reservoir<br />

Fig. 1. (a) Top view of the fabricated fluid-lens. (b) Cross-section of the<br />

fluid-lens along the dotted line<br />

(a)<br />

(c)<br />

(b)<br />

(d)<br />

Fig. 2. The introduction of DI-water in the sealed reservoir. The DI-water<br />

is pumped out of a bottle (shown at the bottom-left of the images) using a<br />

micro-pump. The water is introduced into the reservoir using the one of the<br />

two tubes (water inlet tube) while the air already present inside the<br />

reservoir is allowed to exit the lens via the second tube (air outlet tube).<br />

Images (a) to (d) show the reservoir being progressively filled with DIwater.<br />

Image (d) shows that no air remains into the reservoir.<br />

III. DEVICE OPERATION AND CHARACTERIZATION<br />

After the spacer and the membrane have been bonded<br />

together, the combined spacer/membrane layer is again<br />

etched using oxygen plasma along with a borosilicate glass<br />

substrate. After the plasma etching, the tubes are placed<br />

within the 6mm channel and the spacer/membrane layer is<br />

then bonded onto the glass-substrate thus forming the liquid<br />

reservoir. Non-cured PDMS mixture is then used to fill the<br />

gaps between the channel and the tubes and the complete<br />

device is then heated at 70°C for an hour in order to cure the<br />

PDMS and seal the channel - and thus the reservoir.<br />

The adaptive fluid-lens was used under different<br />

operational modes. In the first mode the micro-pump was<br />

activated in order to raise the water pressure inside the<br />

reservoir. The air-outlet tube was left open and hence water<br />

was allowed to exit through the outlet tube. In this mode of<br />

242


operation, the pressure inside the reservoir is increased due<br />

to the continuous on-flow of the liquid, but remains below<br />

the maximum possible pressure due to the open outlet tube.<br />

Thus the membrane surface curvature is not the maximum<br />

possible. This mode of operation was used as a “safe mode”<br />

in order to observe if the reservoir was well sealed and<br />

avoid any damage on the PDMS-sealed channel. In the<br />

second mode of operation the outlet tube was sealed using a<br />

plastic clip. This mode of operation was used in order to<br />

obtain the maximum pressure within the reservoir and hence<br />

the minimum focal length possible. In both modes of<br />

operation the micro-pump flow was maintained at 5ml/min<br />

which is the maximum available with this type of micropump.<br />

The adaptive fluid-lens was characterized in both modes<br />

of operation either qualitatively (using direct optical<br />

observation via a digital camera) or quantitatively (using a<br />

specially designed optical laser set-up). The results of the<br />

direct optical observation under “safe mode” operation are<br />

shown in Fig.3. The images show clearly an increase in the<br />

lens optical power. Fig.4 shows how the optical power of<br />

the lens is increased when the device operates at maximum<br />

pressure.<br />

A specially designed laser set-up was used in order to<br />

obtain the focal length range of the adaptive lens. In the setup<br />

(shown in Fig.5) a 632nm He-Ne laser beam was<br />

allowed to propagate through the lens. The beam was then<br />

collected and observed via a screen placed at 1,06m away<br />

from the lens. The laser was mounted on a fix frame while<br />

the lens was allowed to move perpendicularly to the<br />

incoming laser beam. The calculation of the focal length<br />

range was made by observing the deviation of the laser spot<br />

on the screen as the lens is moved from right to left. When<br />

the laser beam coincides with the optical axis of the lens<br />

then the spot on the screen remains fixed no matter what the<br />

pressure in the liquid reservoir. The lens is then moved<br />

perpendicularly to the laser beam. Hence the laser beam<br />

remains parallel to the optical axis of the lens. If the lens is<br />

not pressurized, then the spot on the screen remains at the<br />

same position as before. When the pressure in the fluidreservoir<br />

is changed then the spot on the screen deviates due<br />

to the refractive properties of the pressurized lens. The focal<br />

length of the lens can thus be calculated using the diagram<br />

of Fig.6, where f is the focal length, e is the deviation of the<br />

spot; d is the distance traveled by the lens and r is the<br />

distance from the lens to the screen. Using the similar<br />

triangles OAB and OCD we derive that,<br />

(d-e)/d=(f-r)/f. (1)<br />

Using simple mathematical manipulation we find that the<br />

focal length is given by,<br />

f=dr/e. (2)<br />

(a)<br />

(b)<br />

Fig. 3. Image magnification using the adaptive fluid-lens in “safe mode”<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

operation.<br />

Fig. 4. Image magnification using the adaptive fluid-lens at maximum<br />

pressure (d). Note that the image axis is not parallel to the optical axis of<br />

the lens.<br />

Fig. 5. Optical set-up used for calculating the focal length range of the<br />

adaptive lens. Part (b) is a close-up image of the laser-lens set-up. MP is<br />

the micro-pump, and MPD is the micro-pump driver.<br />

Fig. 6. Diagram used for calculating the focal length range of the adaptive<br />

lens. f is the focal length, r is the distance from the lens to the screen, d is<br />

the distance traveled by the lens and e is the deviation of the spot on the<br />

screen.<br />

For example, when the non-pressurized lens was moved<br />

by d=8mm then the spot on the screen remained unmoved.<br />

Therefore e=0mm and f→∞. When the lens was operated<br />

under “safe mode” operation, the spot deviation on the<br />

screen was e=8mm. Therefore, the focal length was<br />

f=1.06m. On the other hand when the lens was operated<br />

under maximum pressure, then the deviation of the spot was<br />

as high as 15mm. In this case the focal length was 0.565m.<br />

243


It is important to note that even in the later case the pressure<br />

in the reservoir was maintained below the maximum<br />

possible in order to avoid damaging the lens. Fig.7 shows<br />

how the laser spot moves on the screen as the pressure in<br />

the liquid reservoir is increased above the atmospheric<br />

pressure.<br />

(a)<br />

(b)<br />

(c)<br />

(d)<br />

(e)<br />

Fig. 7. The laser spot movement on the screen as the pressure in the<br />

liquid reservoir is increased from atmospheric (a) to the maximum pressure<br />

(f) sustained via the micro-pump. The incident laser beam is parallel to the<br />

optical axis of the lens but is fired 8mm from the center of the lens.<br />

IV. CONCLUSIONS<br />

We have demonstrated the fabrication and<br />

characterization of a large area adaptive fluid-lens. The<br />

fabrication process is extremely simple as no nanofabrication<br />

techniques are required. The focal-length range<br />

of the adaptive lens varies from infinity to 0.565m<br />

depending on the pressure within its fluid reservoir. Such<br />

adaptive lenses can find use in ophthalmic optics (digital<br />

eye-glasses for example), astronomical optics (because the<br />

gravity will not affect the membrane-curvature of the lens)<br />

or telecoms applications (beam steering and fiber to fiber<br />

connections). In future, the fabrication steps could be easily<br />

enhanced and the reliability of some aspects have to be<br />

investigated like delaminating between layers, the possible<br />

evolution of the mechanical properties of PDMS and the<br />

tightness of the structure because the PDMS is known to be<br />

porous.<br />

REFERENCES<br />

[1]. K. Krause, “Acceptance of progressive lenses”, Klin Monatsble<br />

Augenheilkd. 209 (2-3), 1996, pp 94-99.<br />

[2]. G. Li et al., “Switchable electro-optic diffractive lens with high<br />

efficiency for ophthalmic applications”, in Proceeding of the<br />

National Academia of Sciences of U S A, vol. 103(16), 2006, pp.<br />

6100-6104.<br />

[3]. Y. Liu, L. Warden, K. Dillon, G. Mills, A. Dreher, “Z-View<br />

diffractive wavefront sensor: principle and applications”, In<br />

Proceeding of SPIE, 6018, 2005, pp. 78-86.<br />

(f)<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

[4]. T. Nose, S. Masuda, and S. Sato, “A Liquid Crystal Microlens<br />

with Hole-Patterned Electrodes on Both Substrates”, Japanese<br />

Journal of Applied Physics, vol. 31(Part 1, No. 5B), 1992, pp.<br />

1643-1646.<br />

[5]. S. Sato, “Liquid-Crystal Lens-Cells with Variable Focal Length”,<br />

Japanese Journal of Applied Physics, vol. 18 (9), 1979, pp. 1679-<br />

1684.<br />

[6]. M. Y. Loktev, V. N. Belopukhov, F. L. Vladimirov, G. V.<br />

Vdovin, G. D. Love, and A. F. Naumov, “Wave front control<br />

systems based on modal liquid crystal lenses”, Review of<br />

Scientific Instruments, vol. 71(9), 2000, pp. 3290-3297.<br />

[7]. S. Sato, A. Sugiyama, and R. Sato, “Variable-Focus Liquid-<br />

Crystal Fresnel Lens”, Japanese Journal of Applied Physics, vol.<br />

24 (8, Part 2), 1985, pp. 626-628.<br />

[8]. S. T. Kowel, P. Kornreich, and A. Nouhi, “Adaptive spherical<br />

lens”, Applied Optics, vol. 23, 1984, pp. 2774-2777.<br />

[9]. Y. Takaki and H. Ohzu, “Liquid-crystal active lens: a<br />

reconfigurable lens employing a phase modulator”, Optics<br />

Communications, vol. 126(1-3), 1996, pp. 123-134.<br />

[10]. L. N. Thibos and A. Bradley, “Use of liquid-crystal adaptiveoptics<br />

to alter the refractive state of the eye”, Optometry and<br />

Vision Science, vol. 74(7), 1987, pp. 581-587.<br />

[11]. V. Presnyakov, K. Asatryan, T. Galstian, and A. Tork, “Polymerstabilized<br />

liquid crystal for tunable microlens applications”,<br />

Optics Express, vol. 10(17), 2002, pp. 865-870.<br />

[12]. S. Kuiper and B. H. W. Hendriks, “Variable-focus liquid lens for<br />

miniature cameras”, Applied Physics Letters, vol. 85(7), 2004, pp.<br />

1128-1130.<br />

[13]. C. Gabay, B. Berge, G. Dovillaire, and S. Bucourt, “Dynamic<br />

study of a Varioptic variable focal lens”, In Proceeding of SPIE<br />

4767, (2002), pp. 159-165.<br />

[14]. K.-H. Jeong, G. L. Liu, N. Chronis, and L. P. Lee, “Tunable<br />

micro-doublet lens array”, Optics Express , vol. 12(11), (2004),<br />

pp. 2494-2500.<br />

[15]. L. Dong, A. K. Agarwal, D. J. Beebe, and H. Jiang, “Adaptive<br />

liquid microlenses activated by stimuli-responsive hydrogels”,<br />

Nature, vol. 442, 2006, pp. 551-554.<br />

[16]. M. Agarwal, R. A. Gunasekaran, P. Coane, and K. Varahramyan,<br />

“Polymer-based variable focal length microlens system”, Journal<br />

of Micromechanics and Microengineering , vol. 14(12), 2004, pp.<br />

1665-1673.<br />

[17]. D.-Y. Zhang, N. Justis, and Y.-H. Lo, Fluidic adaptive lens of<br />

transformable lens type, Applied Physics Letters, vol. 84(21),<br />

2004, pp. 4194-4196.<br />

[18]. H. Ren, Y.-H. Fan, S.-T. Wu, “Adaptive liquid crystal lenses”,<br />

US patent No: 6,859,333 B1 , February 22, 2005.<br />

[19]. N. Chronis, G. Liu, K.-H. Jeong, and L. Lee, “Tunable liquidfilled<br />

microlens array integrated with microfluidic network”,<br />

Optics Express, vol. 11(19), 2003, pp. 2370-2378.<br />

244


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fabrication and Characteristics of a Fused<br />

Silica-Based Optical Waveguide with Femtosecond<br />

Fiber Laser Pulses<br />

Ting-Chou Chang 1 , Chien-Hsing Chen 2 , Wei-Hung Shih 3 , Jian-Neng Wang 4 , Chai-Yu Lee 1 , Jaw-Luen Tang 2 , Shau-Chun<br />

Wang 1 , Lai-Kwan Chau 1 , Wei-Te Wu 5*<br />

1 Department of Chemistry and Biochemistry, National Chung Cheng University<br />

168 University Road, Minhsiung, Chiayi 621, Taiwan<br />

2 Department of Physics, National Chung Cheng University<br />

168 University Road, Minhsiung, Chiayi 621, Taiwan<br />

3 Department of Mechanical Engineering, National Chung Cheng University<br />

168 University Road, Minhsiung, Chiayi 621, Taiwan<br />

4 Department of Construction Engineering, National Yunlin University of Science and Technology,<br />

123 University Road, Section 3, Douliou, Yunlin 640, Taiwan<br />

5* Department of Biomechatronics Engineering, National Pingtung University of Science and Technology<br />

1, Shuefu Road, Neipu, Pingtung 912, Taiwan<br />

Tel: +886-8-770-3202 Ext. 7599; Fax: + 886-8-774-0420; weite@mail.npust.edu.tw<br />

Abstract<br />

This study investigates the fabrication characteristics<br />

of a femtosecond fiber laser on a fused-silica-based optical<br />

waveguide. The wavelength and repetition rate of the<br />

femtosecond fiber laser are 532 nm and 1 MHz,<br />

respectively. We selected three main fabrication<br />

parameters for systematic adjustment: laser power (E),<br />

scanning speed ( v s<br />

) and focus depth (d = 0 at the surface<br />

of substrate). We succeeded in fabricating a waveguide<br />

layer inside the silica subtracts. By analyzing the light<br />

translation path and the net fluence in the waveguide, the<br />

range of fabrication energy of the waveguide on the fused<br />

silica was kept within 0.973 - 1.438 KJ/cm 2 .<br />

I. Introduction<br />

Recently, developments in nanotechnology have led to<br />

a proliferation of electro-optic system applications. To<br />

minimize system size, industries including communications,<br />

construction and biomedical detection have widely applied<br />

optical waveguides such as photonic crystal fibers [1], fiber<br />

interferometers [2], surface plasma resonance (SPR) sensors<br />

[3], localized plasma resonance (LPR) sensors [4] and<br />

guided-mode resonance (GMR) sensors [5].<br />

Waveguide device are fabricated through techniques<br />

including ion bombardment, laser machining,<br />

photolithography, and mechanical stamping [6], commonly<br />

using fused silica as a substrate. Laser machining is a low cost,<br />

high speed and high yield method for the localized heat<br />

treatment of fused silica. However the linear absorption of<br />

fused silica depends on the laser source. Using an ultraviolet<br />

laser requires a process to bind oxygen to the fused silica to<br />

increase light sensitivity [7]. Using CO 2 laser [8] results in a<br />

greatly increased linear absorption of the fused silica which<br />

makes precise machining more difficult and can cause<br />

damage around the machining area. High-power density<br />

femtosecond fiber lasers with a pulse of 10 -15 seconds are an<br />

appropriate tool for the fabrication of optical waveguides due<br />

to their independence in the linear absorbing effect of fused<br />

silica.<br />

This study investigates the fabrication characteristics of<br />

femtosecond fiber lasers on fused-silica-based optical<br />

waveguides. We selected three main fabrication parameters,<br />

laser power (E), scanning speed ( v s<br />

) and focus depth (d = 0 at<br />

the surface of substrate) which are systematically adjusted to<br />

investigate the differences of post-machining light waveguide<br />

characteristics, transmission loss rate and the relation<br />

between the net influence and light waveguide.<br />

II. Experimental section<br />

1. Waveguide principles<br />

As shown in Fig. 1, the light waveguide is composed of<br />

a layer of Media 1 (i.e. a media different from the substrate)<br />

sandwiched between two layers of Media 2 (i.e. the<br />

substrate).<br />

One of two application phenomena of light waveguides<br />

is the refraction within these media with different refraction<br />

indices. Based on the Snell’s law, the refraction angle, φ , is<br />

smaller than the incident angle, θ , as light is incident into<br />

Media 1. The other application phenomenon is total reflection<br />

for keeping and transmitting all laser energy within the Media<br />

1 layer. This means that Snell’s law requires the refraction<br />

index of Media 1, n 1 , to be larger than that of Media 2.<br />

The numerical aperture (NA), (i.e., the maximum<br />

acceptable energy of light wave guide), is defined as.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

245


NA sinθ c<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

2 2<br />

<br />

1<br />

−=≡<br />

nn (1) rotating the half-wavelength and polarization slides. The laser<br />

2<br />

is focused by an objective lens (Mitutoyo-10X,NA=0.28).<br />

The charge-coupled device (CCD) is used to help aim the laser<br />

on the machining area to ensure beam quality.<br />

The machining path and machining rate are controlled by<br />

programming the X-Y micro-positioning platform. An optical<br />

microscope is used to inspect the machined products.<br />

where θ c is the maximum acceptance angle.<br />

The light among the incident light increases with NA. If<br />

the incident angle is larger than θ c , some light is refracted<br />

into Media 2. Therefore, the incident angle must be smaller<br />

thanθ c to satisfy the total reflection and forming guide mode.<br />

Air, n air<br />

n 2<br />

media 2<br />

n 1<br />

media 1<br />

n 2<br />

III. Results and Discussion<br />

1. Waveguide fabrication<br />

In this study we selected three main fabrication<br />

parameters, laser power (E), scanning speed ( v s<br />

) and focus<br />

depth (d = 0 at the surface of substrate). By fixing the laser<br />

power at 170 mW and the focus depth at 0 μm, the fused silica<br />

was modified at scanning speeds.<br />

media 2<br />

Fig. 1 Waveguide translation principle<br />

In general, the fused silica is homogeneous with the<br />

constant refraction index. However, the refraction index of<br />

fused silica increases with the annealing rate [9]. The<br />

femtosecond laser’s pulse characteristic makes it appropriate<br />

for decreasing the annealing rate. The pulse energy does not<br />

integrated easily in the working area and results in a lower<br />

annealing rate.<br />

5.1μm<br />

(a)<br />

1mm/s<br />

4.1μm<br />

3.0μm<br />

2.7μm<br />

(b) (c) (d)<br />

2mm/s 3mm/s 4mm/s<br />

2. Experimental Setup<br />

The specifics of the apparatus used in this study are<br />

shown in Table 1 and Fig. 2. The central wavelengths of the<br />

laser are 532 and 1064 nm, the pulse duration is less than 400<br />

fs and the repetition rate is 1 Hz – 1 MHz. The laser beam is a<br />

Gaussian beam.<br />

Fig. 2 Femtosecond fiber laser machining system schematic<br />

Table 1 Femtosecond fiber laser machining system<br />

specification<br />

Wavelength 1064 nm & 532 nm<br />

Repetition rate<br />

1 Hz~1 MHz<br />

Pulse duration<br />


increased.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Power meter<br />

LD@1553nm<br />

collimator<br />

3.6μm<br />

2.5μm<br />

MMF-fiber<br />

(a)<br />

1mm/s<br />

(b)<br />

2mm/s<br />

(c)<br />

3mm/s<br />

Fig. 4 Fabrication with various scanning speeds at E = 170 mW and<br />

d = 10 μm<br />

3.6μm<br />

(a)<br />

5mm/s<br />

3.7μm<br />

(d)<br />

8mm/s<br />

3.3μm<br />

(b)<br />

6mm/s<br />

3.3μm<br />

(e)<br />

9mm/s<br />

2.3μm<br />

(c)<br />

7mm/s<br />

2.5μm<br />

(f)<br />

10mm/s<br />

Fig. 5 Fabrication with various scanning speeds at E = 170<br />

mW and d = 0 μm<br />

The laser power was increased to 230 mW to modify fused<br />

silica 10 μm in depth. Scanning speed should be increased to<br />

avoid surface ablation. The results in Fig. 5 show that the<br />

fused silica is ablated given scanning speeds between 5 mm/s<br />

and 7 mm/s; and modified widths of3.7μm, 3.3μm and 2.5μm<br />

correspond to scanning speeds of 8 mm/s, 9 mm/s and 10<br />

mm/s, proving that the fused silica can be modified at different<br />

depths through focusing and tuning the laser power and<br />

scanning speed.<br />

2. Waveguide propagating loss measurement<br />

Fig. 6 shows the system for measuring waveguide<br />

propagating loss. The system conducts the laser diode (LD,<br />

center wavelength = 1553 nm) to the waveguide layer on the<br />

XYZ-rotation stage. In the end of waveguide layer, the<br />

collimator couples the multi-mode optic fiber to the power<br />

meter for acquiring and analyzing signal. The results show<br />

that the propagating loss are 4.6 dB/cm、4.8 dB/cm、6.2<br />

dB/cm as the scanning velocities are 8 mm/s, 9 mm/s and 10<br />

mm/s, respectively, with 230 mW and 10 μm of depth. It<br />

indicates that the increased scanning velocity causes the<br />

larger energy loss due to the low absorbing energy of fused<br />

silica.<br />

XYZ-rotation<br />

stage<br />

Transmission (dBm)<br />

0<br />

-5<br />

-10<br />

-15<br />

-20<br />

-25<br />

-30<br />

-35<br />

-40<br />

LD<br />

-45<br />

1553.2 1553.4 1553.6 1553.8 1554.0 1554.2<br />

Wavelength (nm)<br />

Fig. 6 The system for measuring waveguide propagating loss<br />

Table 2 Fabrication parameters of waveguide using<br />

femtosecond laser<br />

Laser<br />

power<br />

E<br />

(mW)<br />

170<br />

230<br />

Scanning focusing<br />

velocity depth<br />

NF<br />

results<br />

v<br />

s d<br />

(KJ/cm 2 )<br />

(mm/s) (μm)<br />

1<br />

ablation 7.191<br />

2 ablation 3.596<br />

3 ablation 2.397<br />

4 0 ablation 1.798<br />

5 waveguide 1.438<br />

6 waveguide 1.198<br />

7 waveguide 1.027<br />

5<br />

ablation 1.946<br />

6 ablation 1.621<br />

7 ablation 1.390<br />

10<br />

8 waveguide 1.216<br />

9 waveguide 1.081<br />

10 waveguide 0.973<br />

3. Waveguide discussion<br />

The laser power, the diameter of the laser beam, the<br />

scanning speed and the rate of repetition are all very<br />

influential factors in laser machining. This study analyzes the<br />

machining performance with NF factor [10], as shown below.<br />

2ω0<br />

PRF<br />

NF =<br />

(5)<br />

vs<br />

where ω is the minimal radius of the laser beam, R = 1<br />

0<br />

MHz is the repetition rate, and E<br />

F p<br />

= is the average<br />

2<br />

Rπω 0<br />

fluence per lasing. In this study, the laser wavelength, λ , is<br />

532 nm. The focus distance of the lens, f , is 20 mm. The<br />

diameter of the incident laser, D, is 5 mm. The ω is 1.5 μm,<br />

0<br />

estimated by the 1.05 of the measured laser beam quality<br />

factor ( D<br />

M<br />

2 πω0<br />

= ). E is the laser power. Substituting these<br />

2λf<br />

parameters into Eq.(5), the range of the NF value is 1.438 –<br />

0.973 KJ/cm 2 , indicating the range of fabrication energy of<br />

247


the waveguide on the fused silica, as shown in Table 2 and Fig.<br />

7. Furthermore, based on Table 2, the laser power should be<br />

increased with the scanning speed, thus increasing machining<br />

speed.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

silica is kept within 1.438 – 0.973 KJ/cm 2 . Future research<br />

could study parameters such as NA, NF and transmission loss<br />

in optimal methods to develop new design applications for<br />

biochemistry sensors and micro-optic systems.<br />

Modification type<br />

Waveguide<br />

No change<br />

Damage<br />

d=0μm<br />

d=10μm<br />

1 2 3 4 5 6 7<br />

NF (kJ/cm 2 )<br />

Fig. 7 The modification type with NF variation<br />

In this study, a waveguide fabricated with 170 mW laser<br />

power, 5mm/s scanning speed and 0 μm focus depth<br />

successfully conducted light as shown in Fig. 8, proving that<br />

femtosecond lasers can be used to fabricate waveguides on<br />

fused silica.<br />

Fig. 8 Waveguide fabricated with 170 mW laser power,<br />

5mm/s scanning speed and 0 μm focus depth<br />

IV. Conclusions<br />

This study describes the successful fabrication of a<br />

fused-silica-based optical waveguide using a femtosecond<br />

fiber laser, and an investigation of the fabrication<br />

characteristics of femtosecond fiber lasers on<br />

fused-silica-based optical waveguides. The results show that<br />

the modified width decreases with increasing scanning speed,<br />

regardless of machining depth. By analyzing the light<br />

translation path and the net fluence in the waveguide, the<br />

range of fabrication energy of the waveguide on the fused<br />

References<br />

1. C. H. Chen, S. C. Chen, Y. C. Chen, H. T. Hu, T. H.<br />

Wei, W. T. Wu, J. N. Wang and J. L. Tang, Research<br />

on laser-induced long-period fiber grating sensor<br />

modified with gold nano-rods, The 8th Pacific Rim<br />

Conference on Lasers and Electro-Optics, Shanghai,<br />

2009<br />

2. Chien-Hsing Chen, Yi-Chun Chen, Jian-Neng Wang,<br />

Lai-Kwan Chau, Jaw-Luen Tang and Wei-Te Wu,<br />

“Multimode fiber Mach–Zehnder interferometer for<br />

measurement of refraction index”, IEEE Sensors<br />

2010 Conference - the 9th Annual IEEE Conference<br />

on Sensors, 2010/11/1-2010/11/4, USA.<br />

3. Y. Liu, J. Kim, Numerical investigation of finite<br />

thickness metal-insulator-metal structure for<br />

waveguide-based surface plasmon resonance<br />

biosensing, Sens. and Actu. B, Vol. 148, pp. 23-28,<br />

2010.<br />

4. L. K. Chau, Y. F. Lin, S. F. Cheng, and T. J. Lin,<br />

Fiber-optic chemical and biochemical probes based<br />

on localized surface plasmon resonance, Sens. and<br />

Actu. B, Vol. 113, pp. 100–105, 2006.<br />

5. Ian D. Block, Nikhil Ganesh, Meng Lu, and Brian T.<br />

Cunningham, ”Bulk-Micromachined Optical Filer<br />

Based on Guided-Mode Resonance in Silicon-Nitride<br />

Membrane,” IEEE Sens. J., Vol. 8, pp.274-280, 2008.<br />

6. C. S. Ma, W. B. Guo, D. M. Zhang, K. X. Chen, Y.<br />

Zhao, F. Wang, Z. C. Cui, S. Y. Liu, Analytical<br />

modeling of loss characteristics of a polymer arrayed<br />

waveguide grating multiplexer, Vol. 34 PP. 621-630,<br />

2002.<br />

7. C. Chen, X. Sun, D. Zhang, Z. Shan, S. Y. Shin, D.<br />

Zhang, Dye-doped polymeric planar waveguide<br />

devices based on a thermal UV-bleaching technique,<br />

Optics & Laser Technology, Vol. 41 , pp. 495–498,<br />

2009.<br />

8. A. M. Vengsrlar, P. J. Lemaire, et al. “Long-Period<br />

Fiber Gratings as Band-Rejection Filters,” Journal of<br />

Lightwave Technology, vol. 4, pp. 58-65, 1996.<br />

9. J. W. Chan, T. R. Huser, S. H. Risbud, J. S. Hayden, D.<br />

M. Krol, Waveguide fabrication in phosphate glasses<br />

using femtosecond laser pulses, APPLIED PHYSICS<br />

LETTERS, Vol. 82, pp. 2371-2373, 2003.<br />

10. L. Shah, Y. A. Arai, S. M. Eaton, P. R. Herman,<br />

Waveguide writing in fused silica with a femtosecond<br />

fiber laser at 522 nm and 1 MHz repetition rate,<br />

Optics Express, Vol. 13, pp. 1999-2006, 2005.<br />

248


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A Multilevel Polymer Process for Liquid Direct<br />

Encapsulation for Opto-Fluidic Application<br />

Remy Bossuyt [1, 2, 3], Laurent Mazenq[1, 2], Véronique Conédéra [1, 2], Jérôme Ballet [1], Anne-Marie Gué [1, 2],<br />

Jean-Paul Cano [3] and Henri Camon [1, 2]<br />

1<br />

CNRS-LAAS, 7 avenue du colonel Roche, F-31077 Toulouse<br />

2<br />

University of Toulouse; UPS; INSA; INP; ISAE; LAAS; F-31077 Toulouse, France<br />

3<br />

Essilor International, rue Pierre et Marie Curie, 31670 Labège, France<br />

Abstract- This paper describes a polymer manufacturing<br />

technique for the realization of sealed liquid tanks with a high<br />

filling ratio. It is based on a lithographic process combined<br />

with lamination and can be performed on any type of<br />

substrate: Si, glass or polymer films. We demonstrated the<br />

encapsulation of liquid in multilevel tanks on large surface<br />

area (>118 cm 2 ) without any damage of the stored liquid and<br />

good flatness. This micro-fabrication technology proposes a<br />

significant breakthrough for opto-fluidic applications.<br />

We propose a one step process for the filling and sealing of<br />

tanks which are performed simultaneously. Tanks can be<br />

integrated at any level in the micro-fluidic system with a<br />

perfect filling rate and an excellent tightness.<br />

I. INTRODUCTION<br />

The encapsulation of liquids in miniaturized systems is a<br />

major challenge for a wide range of applications [1, 2, 3, 4].<br />

In most of cases, the encapsulation process necessitates a<br />

final sealing step which cannot be performed collectively<br />

and which imposes drastic limits on integration possibilities<br />

and performances (architectures, number and localization of<br />

reservoirs, fabrication cost …).<br />

The sealing technology previously developed at LAAS<br />

has been designed to close empty channels separated by<br />

distances greater than 500µm. The photo resist used was the<br />

well known SU-8. That allowed making channel networks<br />

in three dimensions (3D) by level superposition in a quite<br />

simple way [5]. In our research works, it came the need to<br />

trap a high liquid volume on multilevel, quickly and without<br />

any specific filling through a channel networks which<br />

consume the surface area and consequently decrease the<br />

surface filling ratio.<br />

In this paper, we propose process with one step which<br />

overcomes these major drawbacks. The filling and sealing<br />

of tanks are performed simultaneously. Tanks can be<br />

integrated at any level in the micro-fluidic system with a<br />

perfect filling rate and an excellent hermeticity. A new<br />

negative resist, epoxy based, is employed here. This photo<br />

resist is more transparent than the SU-8 and presents a good<br />

mechanical and chemical resistance once polymerized; this<br />

is important for a good liquid trapping. But we also take<br />

great advantage of the properties of this photo resist. The<br />

first one is a decrease of Soft-Bake (SB) and Post Exposure<br />

Bake (PEB) temperature (50°C instead of 90°C for Su-8)<br />

which reduces stress in structures and consequently<br />

deformations. The second advantage is a sticky surface after<br />

SB allowing an easier process for sealing.<br />

II. TECHNOLOGICAL PROCESS DESCRIPTION<br />

The goal of this study was to realize multilevel systems<br />

with high surface filling ratio. Each level would cover 50%<br />

of the visible surface by the liquid. The multi level then<br />

allows the rate to rise 100% in a checkerboard-like structure<br />

that will serve as an example for demonstration in this<br />

paper. The surface filling ratio or coverage rates of each<br />

level may vary depending on the intended applications. The<br />

final structure must be sufficiently transparent for<br />

observation of liquids or for use in transmission. In<br />

addition, it must be carried over to a host structure and thus<br />

be strippable.<br />

The general description of the complete technological<br />

process is given in Fig. 1. The micro fabrication process is<br />

described in four main parts: fabrication of the removable<br />

PET film onto a wafer, fabrication chessboard-like<br />

structure, filling and sealing, and finally fabrication of the<br />

second level. This process is performed on 6 inches glass<br />

wafer.<br />

Stack-up process description<br />

Step 1: Preparation of the<br />

removable plastic film<br />

Substrat<br />

Step 2: pillars of first level<br />

substrat<br />

Step 3: filling and sealing<br />

of first level by lamination<br />

liquid<br />

Step 4: fabrication of the second<br />

level<br />

liquid<br />

liquid<br />

Fig. 1. Schematic description of the main process steps for the fabrication<br />

of a two-level structure.<br />

249


11-13 <br />

May 2011, Aix-en-Provence, France<br />

A. First step: preparation of the flexible substrate.<br />

<br />

The structures are formed on a glass substrate and must<br />

Step map<br />

be removable after manufacture. For this first step is to<br />

develop an interface allowing the realization of this<br />

operation. First and foremost, a combination of layers of<br />

4th step<br />

1st step<br />

glues with a high and low adhesive strength must be<br />

deposited on the glass substrate which will be used only as a<br />

mechanical support. This fabrication is operated by<br />

lamination. Then, a flexible sheet of plastic film (PET, 125<br />

µm) is laminated on the top of the glued glass wafer as<br />

illustrated in Fig. 2. The speed and pressure applied for the<br />

6 inch<br />

different laminations have to be chosen carefully. In order<br />

to limit wafer deformation, a low speed and a low pressure<br />

glass<br />

have to be applied. We also used a thick substrate (1,1 mm<br />

32 th step<br />

against 0,55 mm which is a common thickness for 6 inches<br />

wafer<br />

or less wafers).<br />

The plastic film surface is then activated by oxygen<br />

6 inches wafer<br />

plasma (P=200W, t=120s, V=200ml) in order to promote<br />

the adhesion of the photo resist during the following<br />

lithographic step and especially during and after the<br />

revelation of the photo resist. The activation surface process<br />

must be a low temperature process, firstly to avoid<br />

damaging definitively the surface of the plastic film and<br />

Substrat<br />

secondly to lower stress in the multilayer structure Fig. 3. Chessboard structures in top view (up) and a cross-section (down).<br />

(glass/paste/plastic film). Fig. 2 illustrates that first step.<br />

At the end, a cleaning with deionized water is performed<br />

to remove possible dusts at the surface. Now the wafer is<br />

ready to be micro structured, that is described in the next<br />

chapter.<br />

plastic film<br />

paste<br />

glass wafer 1,1 mm<br />

Fig. 2. After the deposition of a bi-layer of glue on a thick glass wafer, a<br />

plastic film of PET is then laminated.<br />

B. Second step: fabrication of the first structured level.<br />

Using a standard photolithographic process a first level of<br />

structures is realized on the plastic film. The microstructure<br />

design is a chessboard with a 600 µm period (Fig. 3). A 20<br />

µm thick resist is coated and soft baked at T= 50 °C during<br />

14 minutes in an oven. The photolithography is performed<br />

using a Canon FPA 3000 i4 stepper. With this tool, the<br />

mask design is scaled down by a factor of five. The figure is<br />

repeated 32 times on the wafer (Fig. 3.). Each figure is<br />

stitched to the next one in order to see only one figure at the<br />

end of the process. The stress increases during the spin<br />

coating of the resist, the UV exposition, the SB and PEB. It<br />

can induce a torsion in the structure that can make the<br />

alignment process impossible (in our example, the second<br />

level of microstructures will be aligned on the first level).<br />

This phenomenon is well known by the microlithography<br />

users and can be limited by several common ways such as<br />

low SB and PEB.<br />

Fig. 4. SEM view of the first level of the chessboard structure before filling<br />

and sealing.<br />

After exposure, a PEB is performed at 60°C during 8<br />

minutes in an oven. The revelation is achieved in a bath of<br />

isopropanol during 150 s. The figure 4 illustrates a top view<br />

of the first level before filling and sealing. At this stage, the<br />

first level is completely realized. It consists of a set of block<br />

(300 by 300 microns) over an area of 118 sq. cm ready for<br />

filling and sealing.<br />

C. Third step: filling and sealing of the first micro<br />

structured level.<br />

Tanks micro-structured at the 2 nd step (Fig. 3 and 4) have<br />

to be filled and sealed. These two operations are made at the<br />

same time. There are several conditions that the material to<br />

use to make the cover has to respect. It has to be strong<br />

enough to support (at least) a second level of<br />

microstructures, it has to be rigid enough to keep its shape<br />

during the covering process especially when large tanks are<br />

designed and it also has to have a thermal expansion<br />

250


coefficient compatible with the microstructure material. The<br />

photo resist used for the microstructures is a negative one<br />

epoxy based. After the polymerization, the photo resist is<br />

rigid enough to be used as a cover. In this way there is no<br />

mechanical incompatibility because the same material has<br />

been used for the microstructures and the fabrication of the<br />

cover.<br />

This 3 rd step is divided in two parts: the first one is the<br />

preparation of the cover which is called in this document the<br />

interface layer (IL) and the second one is the lamination of<br />

this IL onto the first level of the chessboard structure. The<br />

figure 5 illustrates the different operations realized for this<br />

step.<br />

In a first time, the cover is built. First of all, a PET film<br />

coated with a layer of glue (a weakly adhesive one) is<br />

laminated onto a thick glass wafer. Then the photo resist is<br />

spun-coated: it will be the IL. The thickness of the IL is set<br />

to 7 µm. The photo resist is soft baked at 50°C during 25<br />

minutes and then a room temperature stabilization delay is<br />

applied (at least 20 minutes long) (Fig. 5-1). We will take<br />

advantage that the photo-resist is still sticky after the SB to<br />

perform an efficient lamination onto the first level<br />

chessboard. The plastic film with the IL will be removed<br />

from the substrate (Fig. 5-2) just before performing the<br />

lamination onto the first level chessboard for the sealing.<br />

In a second time, the surface of the microstructures of the<br />

first level is activated by oxygen plasma (P=400W, t=30s,<br />

V=400ml). A drop of liquid is dripped down on the<br />

structure at the location where the lamination begins. In that<br />

way the liquid is pushed in the structure all along the<br />

lamination. This technique is interesting when only one type<br />

of liquid has to be filled in the tanks. At the end of the<br />

lamination, a full wafer UV exposition is done, associated<br />

to a PEB (still we the plastic film) at a low temperature<br />

(50°C) in order to fully polymerize the IL. The UV<br />

exposition has to be made quickly after the lamination in<br />

order to limit the solvent diffusion in the non polymerized<br />

resist at this time. After the PEB and a room temperature<br />

stabilization time, the plastic film is removed. Stages of this<br />

process step are illustrated by Fig. 5.<br />

1- Fabrication of<br />

IL on PET film<br />

Substrat<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Resist (7µm)<br />

PET<br />

Paste<br />

4- Removal of the PET film<br />

Fig. 5. Schematic representation of the filling and sealing step.<br />

The chessboard structure used in this experiment has<br />

sides of 300µm. The flatness of the IL (top side) has been<br />

measured after the lamination with a optical profilometer.<br />

The experimental profile variation is less than 400 nm high<br />

on 300 µm long as illustrated in figure 6.<br />

b)<br />

a)<br />

c)<br />

Fig. 6. Interferometric measurement over 1,2 x 0,9 mm area (a), horizontal<br />

profile line (b) and vertical one (c) of the cover of the level one after filling<br />

and sealing.<br />

In Fig. 6, it can be observed that the cover is shaped as a<br />

bump above tanks. A bump down was expected due to<br />

pressure applied during the lamination. However the<br />

amplitude of the deformation is low (350nm) and is not<br />

visible in the cross sectional view of figure 7<br />

2- Removal of PET<br />

film with the IL<br />

3- Lamination of the<br />

PET film onto the<br />

chessboard structure of<br />

the first level<br />

Fig. 7. SEM Cross-section view of tank with pillar and the cover (left) optical<br />

top view of filled and sealed strucrure (right).<br />

Fig. 7 shows also that the resist flew in the tank. It is<br />

visible on the right side of the pillar. There, the cover<br />

thickness is 9.37 µm (SEM measurement). Anywhere else,<br />

it is close to the expected value i.e 7 µm (physically<br />

measured with a mechanical profilometer). This is<br />

confirmed by the measurement above the pillar (6.94 µm)<br />

251


and far away from the pillar (7.47µm at 40µm from the left<br />

side pillar).<br />

The flow of the resist along the pillar during lamination is<br />

the major difficulty we have encountered during the<br />

development of this process. In order to avoid this problem,<br />

we have optimized process parameters. Figure 8 shows an<br />

example of flowing effect. This problem becomes really<br />

significant when microstructures have low dimensions as it<br />

reduces drastically the volume of encapsulated fluid. In our<br />

case it has been considered negligible regarding the final<br />

volume of each structure (1800µl). However, this<br />

phenomenon has been reduced by increasing the soft bake<br />

time (near twice the initial value) and by lowering the<br />

pressure and speed lamination to the minimum value<br />

allowed by the laminator. It has been tried to increase the<br />

thickness of the plastic layer which supports the resist but<br />

no improvement has been observed.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

2 – Liquid filling and sealing 3 – Removal of the top PET film<br />

Fig. 9. Realization of the second level.<br />

The figure 10 illustrates a SEM view of a quite complete<br />

two-level of microstructures showing tanks and pillars of<br />

the first level, IL covering the all first surface, and pillars of<br />

the second level.<br />

Pillar of the 2 nd level<br />

Upper tank level<br />

Interface layer<br />

lower tank level<br />

Pillar of the 1 st level<br />

Fig. 10. SEM view of empty structures without the final cover.<br />

Fig. 8. Example of a resist flow after the lamination (in the circle) before<br />

the optimization of the process.<br />

The first level of microstructures has been filled and<br />

sealed so the fabrication of the second level of<br />

microstructure can begin.<br />

D. Fourth step: fabrication of the second level and its<br />

sealing.<br />

In order to realize the second level of microstructures we<br />

have to repeat the same operations as described before (Fig.<br />

9). The first sealed level is treated with an oxygen plasma<br />

(P=200W, t=60s, V=200ml) in order to increase the<br />

wettability. The water drop angle is equal to 70° before<br />

plasma O 2 and falls down to 8° after treatment. The plasma<br />

O 2 increases also the adhesion of the spin coated resist on<br />

the IL surface of the first level. Then, the photo resist is<br />

spin-coated on the IL and soft backed as already before.<br />

Then using an alignment process on the Canon stepper, the<br />

second level is UV exposed. After a post exposure bake the<br />

second level is revealed. All parameters of these operations<br />

are the same those used in the fabrication of the first level.<br />

The second level has to be processed in a short delay (less<br />

than 2 hours) in order to avoid stress issues inducing a<br />

deformation of structures. The curvatures over the tanks can<br />

be increased from 300 nm to six microns if the time<br />

between the fabrication of level 1 and 2 is greater than 12<br />

hours.<br />

1- UV exposure and SB<br />

III. CONCLUSION<br />

We have developed a fabrication process to realize multilevel<br />

structures with encapsulated liquid. A chessboard<br />

structures have been chosen for demonstration but more<br />

complex structures could be realized as each level could be<br />

patterned. We stacked up two levels of reservoirs, but there<br />

is no limitation to go further and stack up other layers<br />

following the same process. The total area of the structure is<br />

118 cm² but it could be extended to larger area. We<br />

observed no formation of bubbles or liquid accumulation<br />

over pillars. This technology offers the possibility to easily<br />

implement optical functions at low cost and on large area<br />

and is therefore a basic step towards optofluidics<br />

applications.<br />

REFERENCES<br />

[1] D. Kohlheyer, J.Eijkel, S. Lenk, A. Floris, S. Staal, A. van den<br />

Berg, “Point-of-care lithium monitoring in whole blood using a<br />

disposable, prefilled and ready-to-use capillary electrophoresis<br />

microchip”, Micro Total Analysis Systems (µTAS), Jeju (Korea)<br />

2009, pp. 1731-1733.<br />

[2] E. Meng, C. Guttierrez,”Parylene-based encapsulated fluid MEMS<br />

sensors” 31th Int. Conf. IEEE EMBS, Minneapolis (USA), 2009,<br />

pp. 1039-1041.<br />

[3] T. Ninomiyaa, T. Okayamaa, Y. Matsumotoa, X. Arouettea, K.<br />

Osawaa, N. Miki, “MEMS-based hydraulic displacement<br />

amplification mechanism with completely encapsulated liquid”.<br />

Sensors & Actuators A, in press.<br />

[4] D. Psaltis, S.R. Quake, C.H. Yang, “Developeng optofluidic<br />

technology through the fusion of microfluidics and optics”, Nature,<br />

vol. 442, 2006, pp. 381-386.<br />

[5] P. abgrall, V. Conédéra, H. Camon, A.M. Gué, N.T. Nguyen, “SU-<br />

8 as a structural material for labs-On-Chips and MEMS”,<br />

Electrophoresis, Vol. 28, 2007, pp. 4539-4551.<br />

252


11-13<br />

<br />

May 2011, Aix-en-Provence, France<br />

<br />

Multiple-output MEMS DC/DC converter<br />

A. Chaehoi, M. Begbie, D. Weiland, S. Scherner.<br />

Institute for System Level Integration, Heriot Watt University Research Park, Research Avenue North, EH14 4AP Edinburgh, Scotland,<br />

www.isli.co.uk<br />

Contact: Aboubacar Chaehoi, tel: +44 (0)131 510 0681, fax: +44 (0)131 449 3141, aboubacar.chaehoi@sli-institute.ac.uk<br />

Abstract<br />

DC/DC converters are widely used in consumer<br />

electronic devices where usually a single power source is<br />

available while the electronic board of the device requires<br />

different voltage levels in order to power-up different block<br />

functions. In this paper we present the design of a MEMS<br />

single-input multi-output voltage level shifter. The lowvoltage<br />

to high-voltage conversion is based on the<br />

electrostatic transduction of variable capacitors built using<br />

interdigitated comb fingers. A 1mm2 MEMS prototype has<br />

been designed and fabricated using the SOIMUMPs process.<br />

In this study we present the co-design and co-simulation of<br />

the whole system (the MEMS device and its dedicated<br />

charge-pump-circuit) in a single <strong>EDA</strong> environment through<br />

MEMS+ [a Coventorware® tool that allows the cosimulation<br />

of MEMS and electronics in the Cadence Analog<br />

Design Environment]. We present analytical, FEM and<br />

MEMS+ models of the multi-output DC-DC converter and<br />

show that all our models converge towards the experimental<br />

results.<br />

Key words: MEMS, co-design, co-simulation, multi-output<br />

DC/DC converter.<br />

I. Introduction<br />

Electronic devices usually require multi level voltage supplies<br />

which must be derived from a single unique power source. For<br />

instance common handheld products are powered using one<br />

battery cell and at the same time different voltages level are<br />

required for different functions ranging from the microprocessor<br />

(a few volts) to the different the ASICs and memories to the<br />

screen display (up to 40 volts). Two types of purely electronic<br />

converter are dominant in the market: inductor-based DC/DC<br />

converter where a bulky inductor is needed and switch-mode<br />

DC/DC converters which suffer from switching losses and<br />

switching noise. Applying these architectures to multi-output<br />

systems inevitably leads to a large size system with the increase<br />

of inductor and/or capacitor number. We propose in this study a<br />

single-structure MEMS device that converts a single input DC<br />

voltage into two different DC output voltages. The principal of<br />

this MEMS device can easily be extended into multiple (more<br />

than two) output DC/DC converter. Voltage conversion is based<br />

on the electrostatic transduction of variable capacitors built<br />

using interdigitated comb fingers. The multiple-outputs are<br />

achieved by incorporating comb structures with different finger<br />

gap spacings into the same single structure.<br />

In a previous publication [1] the authors presented the design of<br />

a 1mm2 MEMS prototype designed and fabricated using the<br />

SOIMUMPs process [2]. The prototype exhibits 6.8V and 9V<br />

outputs from a supply driving voltage of 5V, with an initial rise<br />

time of 50ms to reach full output voltages. The development of<br />

the DC-DC converter was performed by separate simulations of<br />

the MEMS and electronics. In this study we propose and<br />

demonstrate a new design approach to the DC/DC converter.<br />

Designers usually develop their own models (VHDL, AHDL)<br />

for co-simulation of MEMS with CMOS or more commonly<br />

design and simulate MEMS and electronics separately, manually<br />

passing results from one simulation domain to another. This can<br />

lead to a number of problems including: incompatibility of<br />

interfaces, non-standard operation conditions and dynamic<br />

interaction between MEMS and electronics which cannot<br />

properly be simulated. Moreover this approach typically does<br />

not allow the IC designer any flexibility in the behaviour of the<br />

MEMS device. As an example the resonant frequency of<br />

vibrating structures is fixed by the mechanical design performed<br />

by the MEMS designer.<br />

In this new study we are able to co-simulate the whole system in<br />

both domains through MEMS+, a Coventorware® tool that<br />

allows the co-simulation of MEMS and electronics in the<br />

Cadence Analog Design Environment [2]. We have thus been<br />

able within the electronic design spaceto modify the mechanical<br />

structure and behavior within limits defined in the MEMS<br />

design space. The benefit we realize is the optimization of both<br />

the MEMS and the IC at the same time. The accurate MEMS<br />

behavioral model generated with MEMS+ is imported in<br />

Cadence Virtuoso as a schematic bloc in which parameters such<br />

as geometry and environmental variables can be changed, thus<br />

allowing the co-simulation of the MEMS device and its<br />

dedicated charge-pump-circuit in a single <strong>EDA</strong> environment. As<br />

part of this work both elements have been optimized based on<br />

their interaction (gap spacing, driving frequency) and the design<br />

space is explored in more detail than previously possible. We<br />

present analytical, FEM and MEMS+ models of the DC-DC<br />

converter and show that all our models converge towards the<br />

experimental results. We also analyse the influence of the<br />

resonance frequency of the mechanical device on the whole<br />

DC/DC converter efficiency.<br />

253


11-13 May 2011, Aix-en-Provence, France<br />

<br />

II. The MEMS DC level converter principle <br />

The operating principle of the mechanical DC/DC converter is<br />

depicted on Figure 1. The MEMS is composed of a pair of<br />

interdigitated capacitive comb fingers used to drive laterally the<br />

whole structure at its resonant frequency, then on each side of<br />

the movable plate are attached further pairs of comb fingers<br />

forming two variable pump capacitors. Voltage conversion is<br />

based on the electrostatic transduction of the variable pump<br />

capacitors. The multiple-output is achieved by designing comb<br />

finger pairs with different gap spacings located in the same<br />

structure. The driving capacitors (Cd 1 and Cd 2 ) are connected<br />

such a way that with a driving sinusoidal voltage they alternately<br />

move the central plate along the lateral axis. An input voltage is<br />

applied across the pump capacitors (C 1 and C 2 ) which sets the<br />

initial charge Q 0 at their terminals. A decrease of the charge<br />

pump capacitor gaps leads to an increase of the capacitance<br />

value at a constant charging voltage which means that the charge<br />

in the capacitors increases. Then during the increase of the<br />

spacing gap, the accumulated charges are confined and<br />

transferred to an output storage capacitor at higher voltage in<br />

line with the reducing capacitance. Low leakage current diodes<br />

are used to prevent the charge cycling back to the supply and to<br />

the charge pump capacitors during the successive cycles thus<br />

ensuring the condition of constant charge.<br />

Where E is the young modulus of the beam, w b , is the width, t b<br />

is the and L a and L b are respectively the thigh length and the shin<br />

length of the suspension beam.<br />

The total mechanical damping D of the system is the sum of the<br />

squeeze film damping on the pump-charge capacitors (D 1 +D 2 ),<br />

the slide film damping on the driving comb-drive capacitors<br />

(D 3 ) and the slide film damping between the plate and the<br />

substrate (D 4 ).<br />

The squeeze film damping between the sensing comb fingers is<br />

calculated as:<br />

<br />

<br />

<br />

; <br />

<br />

(3)<br />

The slide film damping between the driving comb fingers is<br />

expressed as:<br />

<br />

2 <br />

(4)<br />

<br />

The slide film damping between the driving plate and the<br />

substrate is:<br />

<br />

(5)<br />

<br />

The damping ratio of the system is:<br />

<br />

<br />

(6)<br />

<br />

The quality factor of the system is obtained as:<br />

<br />

<br />

<br />

(7)<br />

From the electrical force on the comb drive, the stiffness of the<br />

beams and the quality factor, the static displacement of the<br />

movable plate and the displacement at the resonance can be<br />

calculated:<br />

<br />

<br />

(8)<br />

. (9)<br />

Figure 1: SEM picture of the dual-output DC/DC converter<br />

III. Analytical modeling<br />

The displacement of the central plate is due to the<br />

electrostatic force F elect generated by the voltage applied the<br />

driving capacitor Cd. The electrostatic force is calculated using<br />

the following formula [3]:<br />

<br />

<br />

(1)<br />

<br />

Where n d is the number of driving pair fingers, β is the fringe<br />

effect factor, ε r is the dielectric constant of the air, V d is the<br />

applied voltage and d RSd is the rotor to stator finger spacing gap.<br />

The movable plate is connected to four L-shaped beams. This<br />

kind of crab-leg beam suspension has an overall spring constant<br />

expressed as follows [4]:<br />

<br />

<br />

(2)<br />

From the equations developed above and the structure geometry<br />

describes in Table 2, the mechanical parameters and behavior of<br />

the MEMS device can be calculated. The table below<br />

summarizes the results of the analytical modeling.<br />

Variable Description Value<br />

Mechanical parameter<br />

F elect Electrostatic force 4.8E-8 N<br />

K Stifness 29.5 N/m<br />

M Mass of driving plate (kg) 1.0715E-8<br />

D Total mechanical damping (N.s.m -1 ) 5.2E-7<br />

ξ Damping ratio 4.61E-4<br />

Q Quality factor 1.0835E3<br />

F res Resonant frequency 8.35 kHz<br />

x static Static displacement of driving plate 1.63 nm<br />

x peak Displacement at resonance 1.761 μm<br />

Table 1: Analytical modeling – result summary.<br />

254


Variable Description Value<br />

Crab-leg suspension beam of driving plate<br />

E Material Young modulus 169 GPa<br />

w b Beam width 10 µm<br />

t b Beam thickness 10 μm<br />

L b Beam length 600 μm<br />

L a Beam length (thigh) 50 μm<br />

d p distance between plate and substrate 400 μm<br />

A Area of driving plate 2.5E-07<br />

M Mass of driving plate (kg) 1.0715e-8<br />

Driving capacitors Cd 1 Cd 2<br />

w Comb finger width 5 μm<br />

t Comb finger thickness 10 μm<br />

L d Comb finger length 70 μm<br />

h d Overlapping height of electrodes 40 μm<br />

n d Number of finger pairs 50<br />

d RSd Rotor to stator finger spacing gap 3 μm<br />

β Correction factor for the fringe effect 1.2 – 1.8<br />

ε r Dielectric constant of air 1<br />

ε 0 Permittivity of vacuum (F/m) 8.85e-12<br />

V d Applied voltage at the electrodes 5 V<br />

Pump charge capacitors C 1 , C 2<br />

w Comb finger width 5 μm<br />

t Comb finger thickness 10 μm<br />

L Comb finger length 80 μm<br />

h Overlapping height of electrodes 70 μm<br />

N Number of finger pairs 20<br />

d SS Stator to stator finger spacing gap 25 μm<br />

d RS1 C 1 rotor to stator finger spacing gap 8 μm<br />

d RS2 C 2 rotor to stator finger spacing gap 5 μm<br />

Table 2: MEMS device parameters.<br />

At resonance, the movable fingers oscillate around their initial<br />

positions with an amplitude of x peak . In the folowing we assume<br />

x min and x max being respectively the minimum and the maximum<br />

position of the fingers.<br />

(10)<br />

(11)<br />

Where d RS is the initial rotor to stator distance.<br />

For the present device – described in Figure 2 –, the left and<br />

right capacitance, C l and C r respectively, at x min and the total<br />

maximum capacitance C max (the sum of C l and C r ) can be<br />

calculated as follows [6]:<br />

.<br />

_ . <br />

(12)<br />

<br />

_ . <br />

.<br />

(13)<br />

<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

. . <br />

<br />

<br />

<br />

<br />

<br />

(14)<br />

In the same way the total minimum capacitance C min when the<br />

comb-finger is at x max can be expressed as:<br />

. . <br />

<br />

<br />

<br />

<br />

<br />

(15)<br />

The output voltage of the DC/DC converter can then be<br />

calculated as [7]:<br />

<br />

<br />

(16)<br />

We then find output voltages of 5.8V and 8.2V for the pump<br />

charge capacitors C 1 and C 2 respectively.<br />

C l<br />

Rotor<br />

C r<br />

d RS<br />

Stator<br />

a)<br />

h<br />

w<br />

L<br />

Figure 2: Interdigitated comb finger<br />

before and after x displacement.<br />

IV. MEMS+ Modelling<br />

Rotor<br />

Stator<br />

Figure 3 is a schematic of the whole system in Virtuoso. The<br />

output of the MEMS converter is connected to a 500 fF reservoir<br />

capacitor via a low leakage current diode to provide rectification<br />

with minimal loss during the pumping cycles. The device is<br />

driven at its resonant frequency.<br />

This model is used to study the influence of the mechanical and<br />

the electrical parameters of each block and their mutual<br />

interdependence on the performance of the whole system. Figure<br />

4 shows the influence of load resistance on the performance of<br />

the converter. The better performance is obtained for a very high<br />

resistive load (1TΩ) where an output voltage around 6.5V can<br />

be obtained at the first output C 1 of the DC/DC converter.<br />

However the output voltage drops to nil when the load resistivity<br />

is under a few tens of giga-ohms. This MEMS-electronics<br />

cosimulation allows us to understand that the system is suitable<br />

for purely capacitive loads only. This is due to the<br />

acknowledged inherent high impedance output of MEMS<br />

converters [8]. The modeling prediction of the converter<br />

behavior for low resistive loads is interesting and need some<br />

more study.<br />

x<br />

d SS<br />

b)<br />

255


MEMS DC/DC converter<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

Driving plate displacement<br />

ΔC<br />

Figure 3: Schematic view of the whole DC/DC converter system in Virtuoso.<br />

R load = 1 TΩ<br />

R load = 100 GΩ<br />

R load = 10 GΩ<br />

R load = 1 GΩ<br />

Figure 4: Transient response of the DC/DC converter for<br />

different resistive loads.<br />

This modeling approach also allows a geometrical analysis of<br />

the mechanical part regarding the output voltage of the whole<br />

system. Figure 5 shows the transient response of the converter<br />

for different rotor to stator distance d RS on the pump capacitor.<br />

The stationary output voltage increases when d RS decreases,<br />

which is explained by a higher maximum voltage multiplication<br />

factor (C max /C min ) when d RS is low. However a drastic increase in<br />

charging rate appears for very low rotor to stator distances. This<br />

is due to a the electrostatic spring which appears because of the<br />

electrostatic force generated at the capacitor plate when a<br />

voltage is present (pull-in effect) [9,10]. This added stiffness<br />

shifts the resonant frequency of the system during the charging<br />

cycles which increases the driving plate amplitude displacement.<br />

Figure 6 shows the FFT of the driving plate displacement for<br />

different values of d RS . We can see that for a small value of d RS<br />

another oscillating mode is superimposed on the driving mode<br />

frequency. This new oscillation mode corresponds to the new<br />

resonant frequency of the mechanical structure (around 8.1 kHz)<br />

C1_d RS = 8 µm<br />

C1_d RS = 5 µm<br />

C1_d RS = 5.5 µm<br />

C1_d RS = 6 µm<br />

C1_d RS = 5 µm<br />

C1_d RS = 8 µm<br />

Figure 5: Transient response of the DC/DC converter for<br />

different finger spacings.<br />

Figure 6: FFT of the x displacement signal of the driving plate<br />

for different rotor to stator distance d RS .<br />

256


11-13 <br />

May 2011, Aix-en-Provence, France<br />

V. Characterization results and Conclusions <br />

References<br />

The fabricated device exhibits two output voltages of 9V<br />

and 6.8V, after an initial rise time of 50ms to reach its full<br />

output voltages when excited at its resonant frequency<br />

characterized at 8.32 kHz. There are some discrepancies<br />

between the model results and the characterization. In order to<br />

achieve output voltages of 6.8V and 9V, the distance d RS<br />

between the fingers for C1 and C2 needs to be 7µm and 6µm<br />

respectively. This can be explained, for the most part, by the fact<br />

that the high quality factor Q and the resonant frequency of the<br />

real device F res are slightly different from the ones from the<br />

model (process variation), and we know that the performance is<br />

highly dependent of the driving frequency through the effect this<br />

has on the amplitude. Therefore the “pull-in effect” modeled for<br />

the small rotor to stator spacing gap is not seen yet during<br />

characterization.<br />

Resonant Freq<br />

F res<br />

Output<br />

V out<br />

Analytical model 8.35 kHz 5.8 / 8.2 V<br />

FEM 8.24 kHz NA<br />

MEMS+ 8.26 kHz 5.8 / “pull-in effect”<br />

Characterisation 8.32 kHz 6.8 / 9V<br />

V. Conclusion<br />

Table 3: Results summary.<br />

This paper concerns the modeling and design of a<br />

MEMS single-input, multiple-output DC/DC converter. The<br />

proposed model is in good agreement with the characterization<br />

results. It can be used very rapidly to study the effects of<br />

geometrical and electrical parameters on the whole system<br />

performance. Because of its high output impedance, the present<br />

system is suitable only for purely capacitive loads. More works<br />

need to be done in designing pump charge capacitors with<br />

bigger C 0 values in order to achieve a generic DC-DC converter.<br />

The driving frequency of the MEMS controls the output voltage<br />

of the DC/DC converter. An adaptative and dynamic voltage<br />

scaling can therefore be considered with such MEMS apparatus.<br />

[1] L. Li et al.; “Single-input, dual-output MEMS DC/DC<br />

converter”; Electronics Letters, Vol. 43 No. 15; Jul 2007.<br />

[2] www.coventor.com/mems-ic/mems-product-designplatform.html<br />

[3] www.coventor.com/mems-ic/mems-product-designplatform.html<br />

[4] M.H. Bao; “Micromechanical transducers: Pressure<br />

sensors, accelerometers and gyroscopes”; Elsevier<br />

Sciences, 30 th October 2000; ISBN: 978-0444505583.<br />

[5] G.K. Fedder; “Simulation of Microelectromechanical<br />

Syatems”; Ph.D. dissertation; University of California,<br />

Berkley; 1994.<br />

[6] K. Sharma, “Design optimization of MEMS comb<br />

accelerometer”; American society for Engineering<br />

Education Zone 1 Conference, West Point, NY, 28 th -29 th<br />

March 2008<br />

[7] M. Hill et al.; “Modeling and performance evaluation of a<br />

MEMS DC-DC converter”; J. Micromech. Microeng. 2006,<br />

16, pp. S149-S155<br />

[8] C.H. Haas et al.; “Modelling and analysis of a MEMS<br />

approach to DC voltage step up conversion”; J.<br />

Micromech. Microeng. 2004.<br />

[9] D. Galayko et al.; “Coupled resonators micromechanical<br />

filters with voltage tunable bandpass characteristic in<br />

thick-film polysilicon technology”; Sensors and Actuators;<br />

Vol.126, 2006.<br />

[10] E.H. Francis et al.; “Electrostatic spring effect on the<br />

dynamic performance of micro resonators”; International<br />

Conference on Modeling and Simulation of Microsystems,<br />

San Diego, 27-26 March 2000, pp. 154-157<br />

257


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Design of the silicon membrane of high fidelity and<br />

high efficiency MEMS microspeaker<br />

Iman Shahosseini, Elie Lefeuvre, Emile Martincic,<br />

Marion Woytasik, Johan Moulin, Souhil Megherbi<br />

Univ. Paris Sud – CNRS<br />

Institut d'Electronique Fondamentale<br />

91405 Orsay Cedex, France<br />

Abstract- This study presents a novel approach to MEMS<br />

microspeakers design aiming to tackle two main drawbacks of<br />

conventional microspeakers: their poor sound quality and<br />

their weak efficiency. For this purpose, an acoustic emissive<br />

surface based on a very light but very stiff structured silicon<br />

membrane was designed. This architecture, for which the<br />

membrane undesirable vibration modes were reduced to only<br />

five within the microspeaker bandwidth, is promising to let the<br />

microspeaker produce high sound quality from 300 Hz to 20<br />

kHz. This silicon membrane is suspended by a whole set of<br />

silicon springs designed to enable out-of-plane displacements<br />

as large as 300 µm. Different geometries of springs were<br />

considered and the material maximum stress was analyzed in<br />

each case by finite element modeling. The proposed structure<br />

promises an efficiency of 10 -4 , that is to say ten times higher<br />

than that of conventional microspeakers.<br />

I. INTRODUCTION<br />

The broad development of mobile electronic devices<br />

embedding audio function is now strongly increasing the<br />

demand for higher sound level and better sound quality.<br />

From this point of view, the problem mainly comes from<br />

the poor quality of available microspeakers. Thus, more and<br />

more attention is being paid to acoustic performances of the<br />

microspeakers used for instance in mobile phones, which<br />

represent more than one billion units per year market. This<br />

explains why significant research efforts are currently<br />

focused on improvement of the performances of<br />

microspeakers [1, 2]. Until now, such microspeakers are not<br />

MEMS: they are manufactured using conventional<br />

"macroscopic" machining technologies. But limits of<br />

conventional technologies in terms of integration and sound<br />

quality are not far to be reached, and MEMS technologies<br />

present a very promising potential for overcoming these<br />

limitations, as shown by recent studies [3]. Indeed,<br />

microtechnologies bring outstanding dimensional precision<br />

and good reproducibility which are needed for<br />

manufacturing high quality sound transducers. Moreover,<br />

thanks to batch process the fabrication costs may be kept<br />

reasonably low.<br />

Another critical issue of mobile electronic devices is the<br />

autonomy of batteries. Taking again the example of cell<br />

Romain Ravaud and Guy Lemarquand<br />

Université du Maine – CNRS<br />

Laboratoire d'Acoustique de l'Université du Maine<br />

72085 Le Mans, France<br />

phones, nearly one quarter of the total power consumption<br />

is due to the audio system when used in free-hand mode.<br />

Analysis of the components usually used in the sound<br />

reproduction chain of mobile devices shows that D-A<br />

converters have very little consumption. Amplifiers have<br />

pretty good efficiencies, typically between 50% and 90%.<br />

From the efficiency point of view, the weakness is mainly<br />

due, again, to the microspeakers. Indeed, efficiency of the<br />

electrical-to-acoustic power conversion remains typically<br />

lower than 0.001%. So it is clear that improvement of the<br />

efficiency of microspeakers is the best approach to increase<br />

significantly the overall efficiency of the audio chain. For<br />

instance, improvement of the microspeaker efficiency by a<br />

factor of ten, that is to say reaching 0.01% efficiency, will<br />

roughly divide the consumption of the sound reproduction<br />

chain by the same factor ten. The total power consumption<br />

will thus be notably reduced, with significant gain in term<br />

of energy autonomy of mobile devices.<br />

The approach developed in this paper aims at improving<br />

both the efficiency and the sound quality of microspeakers.<br />

Until now, few works on MEMS microspeakers have been<br />

reported in literature. Transduction principles such as<br />

piezoelectric, electrostatic, electrostrictive, electrodynamic<br />

and thermoacoustic actuation, which are achievable using<br />

MEMS technologies, have been proposed [4]. But nonlinear<br />

response of piezoelectric, electrostrictive and<br />

thermoacoustic materials is a major drawback for high<br />

fidelity transduction. Electrostatic principle, which is<br />

broadly used for MEMS actuators because of its<br />

technological simplicity, has however low power density<br />

and requires relatively high driving voltages. So, although it<br />

requires magnets whose integration into MEMS is not very<br />

developed yet, electrodynamic actuation principle is the best<br />

way to meet the objectives in terms of linearity, power<br />

density and efficiency. This actuation principle lies on the<br />

Lorentz force which appears on a conductor, usually coil<br />

shaped, driven by an electrical current and surrounded by a<br />

magnetic field, usually created by a permanent magnet.<br />

Predictions developed in this paper, based on analytical and<br />

finite element method (FEM) modeling of the microspeaker<br />

show that the targeted efficiency of 0.01% is reachable<br />

using a planar copper coil and ring-shaped magnets with<br />

axial magnetization of 1.5 T.<br />

258


11-13 <br />

May 2011, Aix-en-Provence, France<br />

Compared to the MEMS microspeakers formerly<br />

<br />

presented in literature which are mainly based on<br />

deformable membranes, the originality of the proposed<br />

structures lies on the use of quasi-undeformable silicon<br />

membrane suspended to the substrate by a whole set of Suspension beam<br />

silicon springs. Using such perfectly flat and rigid emissive<br />

surface is actually ideal for the sound quality. On the other<br />

Via<br />

hand, high efficiency requires very light membrane. A<br />

tradeoff between these two constraints was found using<br />

Insulator<br />

FEM modeling of a silicon membrane with stiffening ribs.<br />

This paper first presents the whole structure of the Conductor track<br />

MEMS microspeaker and gives the basic design relations<br />

between sound pressure level, efficiency, surface and<br />

displacement of the membrane, mobile masses of the coil<br />

and the membrane. Then, the FEM modeling of a light and<br />

rigid microstructured membrane is detailed in section III.<br />

Microcoil<br />

Afterwards, section IV highlights the importance of the<br />

springs design which was worked out to decrease as much<br />

as possible the stress concentration zones. Finally, section V<br />

outlines the perspectives of this work.<br />

Magnet<br />

Membrane<br />

II.<br />

MEMS STRUCTURE<br />

A loudspeaker is an electroacoustic transducer in which<br />

the sound is produced in response to an electrical signal.<br />

Basically, such kind of transducer generates acoustic wave<br />

by dynamic displacement of a volume of air. For this<br />

purpose, in classical loudspeakers the displacement of a<br />

solid, conic or dome-shaped diaphragm plays this role. In<br />

the case of the MEMS microspeakers presented in most of<br />

former works, the acoustic wave is produced using a<br />

deformable diaphragm, made in a materials such as<br />

parylene or polyimide [3],[5]. Usually, the diaphragm is<br />

clamped to the substrate on its peripheral edge, and<br />

consequently, the principal vibration mode of such<br />

microspeaker, besides many other uncontrolled vibration<br />

modes, is the drum mode. In this work, the sound wave is<br />

generated by a rigid membrane, resisting unwanted<br />

deformations and held by low stiffness suspension beams.<br />

The desired vibration mode is the piston mode, which<br />

means that the whole surface of the membrane runs always<br />

in parallel with its original position. Such operation<br />

principle is ideal from the acoustics point of view. It is<br />

actually a key factor for high quality sound reproduction.<br />

Fig. 1 represents a schematic view of the MEMS<br />

microspeaker structure.<br />

Here, the electrodynamics actuation is responsible for<br />

generation of the driving force, which produces the desired<br />

displacement of the membrane. A magnetic field and an<br />

electric current passing through a conductor are two<br />

essential elements to create the driving force, known as the<br />

Lorentz force. For the proposed structure, the magnetic field<br />

is created by a ring-shaped magnet surrounding the circular<br />

membrane. The conductor is a planar microcoil wound on<br />

top of the membrane. This microcoil is placed as close as<br />

possible to the magnet in order to use the maximum<br />

intensity of the magnetic field. Its electrical supply is<br />

achieved using two conductive tracks which are supported<br />

Fig. 1. Top view and cross-section of the schematic structure of the<br />

MEMS microspeaker<br />

by the suspension beams. Moreover, the coil is protected<br />

from short-circuits by an electrically insulating layer.<br />

Connections of the tracks to the coil ends are achieved<br />

through two via across the insulating layer.<br />

The first step to design a loudspeaker is to determine the<br />

size and the displacement of the emissive surface (the<br />

membrane). These parameters are linked to the sound<br />

pressure level (SPL) and the frequency bandwidth. As the<br />

new standard for high fidelity mobile audio systems stands,<br />

the target has been set to 80 dB SPL at 10 cm, within a<br />

bandwidth of 300 Hz to 20 kHz. With the help of equation<br />

(1), the acoustic power P acoustic that the microspeaker should<br />

produce is of 12.6 µW.<br />

L dB<br />

10 −12<br />

4 2<br />

acoustic<br />

10 10 π ×××=<br />

a (1)<br />

P<br />

In this equation, L dB is the SPL at the distance a.<br />

According to Eq. (2), for a loudspeaker with a circular<br />

membrane which moves in piston mode, the acoustic power<br />

is proportional to the displaced volume of air at a given<br />

frequency f. Both the membrane diameter d and the<br />

membrane out-plane displacement x peak determine the air<br />

volume moved by the membrane.<br />

244<br />

acoustic = 2 70 x peak<br />

f<br />

(2)<br />

It can be deduced that in order to compute the membrane<br />

maximum displacement, the minimum of the frequency<br />

band should be considered. Therefore, for a membrane<br />

whose diameter was fixed to 15 mm, the larger peak<br />

displacement x peak is of 300 µm. This value will be<br />

considered in section IV for the suspension springs design.<br />

In other words, the membrane must remain flat with no<br />

deformation, and it is all the task of the flexible suspensions<br />

to provide 600 µm stroke. As mentioned, acoustically the<br />

piston mode is the ideal vibration for the whole bandwidth.<br />

259


11-13 <br />

May 2011, Aix-en-Provence, France<br />

This means that the piston mode should take place before<br />

<br />

reaching 300 Hz frequency at which the membrane runs<br />

Central circle<br />

high displacements. While increasing the frequency, though<br />

the membrane vibration remains piston mode, the<br />

displacement amplitude reduces enormously. As for the<br />

emissive surface, it is indispensable to have a rigid and<br />

undeformable suspended membrane. However, its lightness<br />

is also an important factor as it plays a role in the<br />

microspeaker efficiency η. This point is highlighted by Eq.<br />

(3), which shows that the lighter it is, the higher the<br />

efficiency can be.<br />

=<br />

4<br />

.. rπρ 1 ⎛ f ⎞<br />

Force<br />

. .<br />

4<br />

⎜<br />

⎟<br />

Rc<br />

⎝ coil<br />

+ MM<br />

membrane ⎠<br />

η (3)<br />

In this equation, ρ is the air density (1.2 kg/m 3 at 20°C), r<br />

the membrane radius, c the sound speed (343 m/s at 20°C),<br />

R the coil resistance, M coil and M membrane the weight of the<br />

coil and that of the membrane. The force factor f Force which<br />

is determined as a result of the driving force per current unit<br />

meets 0.35 N/A. This value was attained through<br />

electromagnetic optimization of the coil and the magnet [6].<br />

III.<br />

MEMBRANE DESIGN<br />

The dynamic performances were first analyzed on a thin<br />

silicon disc structure using FEM simulations. Silicon was<br />

chosen deliberately because it fulfills both rigid and light<br />

criteria. Its Young modulus to density ratio of 71<br />

GPa.gr/cm 3 is actually three times higher than that of other<br />

common materials used in MEMS technology such as<br />

titanium or aluminum.<br />

The modal results showed that for a 20 µm thick disc,<br />

more than 40 different vibration modes exist in the<br />

microspeaker bandwidth. High sound reproduction quality<br />

asks for as little vibration modes as possible. Thickening the<br />

membrane can be considered as a solution for shifting most<br />

of the modes to frequencies higher than 20 kHz. For<br />

instance, FEM modal simulations of a 320 µm thick disc<br />

showed only two undesirable vibration modes, with the<br />

drum mode at 20 kHz. Unfortunately, such solution strongly<br />

increases the membrane weight, which reduces significantly<br />

the loudspeaker's efficiency. Indeed, the 320 µm thick<br />

membrane weights 132 mg, that is to say 16 times more<br />

than the 20 µm one. According to Eq. (3), the efficiency<br />

would be divided by a factor of 93 if considering an<br />

optimized coil of 6 mg.<br />

Several microstructures of the membrane were considered<br />

to prevent efficiency deterioration while keeping most of<br />

the vibration modes out of the frequency bandwidth. The<br />

idea was to dig up some areas in the membrane and to find a<br />

good trade-off between the membrane weight and its<br />

rigidity. Comparing different possible designs such as<br />

hexagonal shape or crossed beams, led us to conceive the<br />

ribbed structure shown in Fig. 2, which includes one 3 mm<br />

diameter central ring and one peripheral ring, each 200 µm<br />

wide, joined together by a series of radial ribs. In order to<br />

have results compatible with microfabrication process, the<br />

2<br />

Fig. 2. Structure of analyzed ribbed membrane for the microspeaker<br />

depth of the structured part was set to 300 µm. The<br />

thickness of the plain membrane was set to 20 µm. In fact,<br />

the micromachining process is based on a silicon-oninsulator<br />

(SOI) substrate for which the top side silicon layer<br />

and the substrate are respectively 20 µm and 300 µm thick.<br />

The effect of the number and the width of the radial ribs<br />

on the vibration modes were analyzed using FEM<br />

simulations. The results concerning the drum mode<br />

frequency are shown on Fig. 3 computed with a number of<br />

ribs between 10 and 40 and with four different widths of the<br />

ribs: 50 µm, 100 µm, 150 µm, and 200 µm. These<br />

simulation results show that the drum mode frequency is<br />

optimally shifted towards high frequencies for a ribs<br />

number between 14 and 15. The drum mode is the vibration<br />

mode which deteriorates mainly the sound quality. In<br />

particular, this vibration mode should not appear in the low<br />

and medium frequencies, but one can consider that its effect<br />

is not perceptible above 12 kHz.<br />

The membrane weight varies also with the number and<br />

the thickness of the radial ribs, as shown on Fig. 4. The<br />

maximum drum mode frequency and the corresponding<br />

membrane weight for each series of ribs thicknesses are<br />

summarized in Table I for each series of ribs width. The 50<br />

µm width seems theoretically promising to adopt, but<br />

microfabrication defects due to high aspect ratio may be a<br />

problem. Consequently, 100 or 150 µm width for the ribs<br />

leads to a good trade-off between the sound quality (related<br />

to the drum mode), the efficiency (related to the membrane<br />

weight) and the microfabrication yield (related to the aspect<br />

ratio of the ribs).<br />

Drum mode frequency (Hz)<br />

14000<br />

13500<br />

13000<br />

12500<br />

12000<br />

11500<br />

11000<br />

Rib<br />

Peripheral circle<br />

50 µm<br />

100 µm<br />

150 µm<br />

200 µm<br />

10 15 20 25 30 35 40<br />

Ribs number<br />

Fig. 3. Drum mode frequency of structured membrane as a function of<br />

ribs number, for four different ribs thicknesses, 50, 100, 150, and 200 µm<br />

260


Membrane weight (mg)<br />

Fig. 4. Structured membrane weight as a function of ribs number, for<br />

four different ribs thicknesses, 50, 100, 150, and 200 µm<br />

TABLE I<br />

Summary of maximum drum mode frequency for each ribs thickness<br />

Ribs width<br />

(µm)<br />

55<br />

45<br />

35<br />

25<br />

15<br />

50 µm<br />

100 µm<br />

150 µm<br />

200 µm<br />

10 15 20 25 30 35 40<br />

Ribs<br />

number<br />

Membrane weight<br />

(mg)<br />

50 15 18.1 12.3<br />

100 16 21.9 13<br />

150 14 24.2 13.4<br />

200 15 28.2 13.8<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Drum mode frequency<br />

(kHz)<br />

Comparison of the two selected ribbed structures with a<br />

plain 20 µm thick silicon membrane shows that more than<br />

30 undesirables vibration modes have been eliminated from<br />

the microspeaker bandwidth and that the drum mode<br />

frequency takes place ten times higher. In addition, the only<br />

five vibration modes that the structured membranes show in<br />

the bandwidth are identical to the first five vibration modes<br />

of the 20 µm thick membrane, but with a difference that<br />

they do happen in much higher frequencies, as it is the case<br />

for the drum mode. Adding up the ribs increases the<br />

membrane weight and decreases the efficiency by a factor<br />

of four. Though 320 µm thick membrane practically<br />

eliminates the drum mode, its efficiency is at least 22 times<br />

lower than that of structured membranes. These results are<br />

summarized in Table II.<br />

IV.<br />

Ribs number<br />

SUSPENSION SPRINGS<br />

In order to obtain a piston movement, having a rigid<br />

membrane is not the only factor, but also possessing high<br />

flexible suspension beams which provide large out plane<br />

displacements for the membrane. Three different aspects<br />

must be considered for the suspensions springs design: good<br />

TABLE II<br />

Modes number, drum mode, and efficiency with different membranes<br />

Membrane<br />

Modes number in the Drum mode<br />

300 Hz - 20 kHz range (kHz)<br />

Efficiency *<br />

20 µm thick 40 1.3 5.3 10 -4<br />

320 µm thick 2 20 5.6 10 -6<br />

microstructured<br />

with16 ribs, 100<br />

5 13 1.4 10 -4<br />

µm width<br />

Microstructured<br />

with 14 ribs,<br />

5 13.8 1.2 10 -4<br />

150 µm width<br />

* with an optimized microcoil 6 mg in weight and 10 Ω in resistance<br />

mechanical linearity and piston mode frequency lower than<br />

300 Hz, which are required to ensure high sound<br />

reproduction quality, and also low stress concentration<br />

zones to ensure long lifetime of the device.<br />

FEM simulations enabled to determine the stress levels<br />

for 300 µm out-of-plane displacement for different shapes<br />

of the springs. It should be mentioned here that such an outof-plane<br />

displacement is very important compared to values<br />

usually found in MEMS literature. Indeed, some works have<br />

reported hundreds microns for in-plane displacements [7],<br />

but not many studies have been done for out-of-plane<br />

displacements of the same order of magnitude. Though<br />

solution studied in [8] has the potential of high<br />

displacements, because the suspensions are made of<br />

polymer they have linear response for small displacements<br />

only. For the first design we considered four suspension<br />

beam simply curved, clamped on one end into the substrate<br />

and on the other end into the membrane, as depicted on Fig.<br />

5. The curved shape was chosen for reducing the<br />

membrane-magnet distance, hence making intense magnetic<br />

field accessible to the coil. Due to the SOI-based fabrication<br />

process, the silicon suspension springs and the silicon<br />

membrane top layer have the same 20 µm thickness.<br />

Simulation results show that if the membrane is shifted to<br />

its peak displacement of 300 µm, 320 MPa maximal<br />

principal stress appears at the membrane-suspension<br />

anchorage zone. Despite this value is lower than theoretical<br />

elastic limit of silicon single crystal, which is often<br />

considered to be 1 GPa in literature, a safety factor of ten is<br />

chosen to raise the microspeaker resistance against<br />

unexpected operation or mechanical shocks.<br />

We experimented various shapes to lower the maximum<br />

stress, such as the "S" shaped and the stacked beam<br />

structures shown in Fig. 6-a and 6-b. Interestingly both<br />

geometries reduce the maximum principal stress to 60 MPa<br />

for the maximal out-of-plane displacement. Further analyses<br />

showed that for "S" type, the stress is a function of the beam<br />

length and the radius of the interior "U" turn. Increasing the<br />

beam width does not have an impact on the maximum value<br />

of the principal stress. However, wider the beams are,<br />

higher the beams stiffness will be. This interesting property<br />

enables to tune independently both parameters.<br />

Fig. 6-c shows that completely rounding the anchorage<br />

point in both ends helps the maximum principal stress to<br />

decrease down to 20 MPa only, which is more than 15 times<br />

lower than the initial structure shown in Fig.5.<br />

Clamped to<br />

substrate<br />

Suspension<br />

beam<br />

Membrane<br />

Fig. 5. Suspension beams clamped into the membrane and into the<br />

substrate, with a zoom box showing the anchorage maximum stress area<br />

(320 MPa)<br />

261


a) 60 MPa b) 60 MPa<br />

(c)<br />

d) 20 MPa e) 36 MPa<br />

Fig. 6. Principal stress distribution for different suspension beams<br />

designs, with the maximum principal stress value for a 300 µm<br />

displacement, "S" form (a), stacked beams (b), rounded anchorage point<br />

(c), membrane with 4-c type beams (d), membrane with 6-c type beams (e)<br />

By increasing the suspension beams from four (Fig.6-d)<br />

to six (Fig.6-e) to get better guided membrane, the<br />

maximum principal stress increases to 36 MPa because of<br />

the reduction of the springs length. The springs stiffness,<br />

which is the ratio of force to displacement, decreases from<br />

35 N/m for the first conceived structure to 1.3 N/m and 5.4<br />

N/m respectively for the 4-beam and 6-beam structures. It<br />

should be also highlighted that the stress values given on<br />

Fig. 6 correspond to the membrane maximum displacement.<br />

When working at frequencies higher than 300 Hz or SPL<br />

lower than 80 dB, less displacement is needed. As a result,<br />

the stress in anchorage points will be less critical.<br />

Modal analyses of the whole membrane and suspensions<br />

structure demonstrate that the piston mode frequency takes<br />

place at 35 Hz and 75 Hz respectively for 4 and 6<br />

suspension beams. Furthermore, contrary to the drum mode,<br />

the piston mode varies as function of both springs total<br />

stiffness, product of beams number and each beam stiffness,<br />

and the suspended mass, so the membrane weight.<br />

As stated before, the frequency of drum mode should be<br />

lower than 300 Hz. Nevertheless, in order to prevent the<br />

coupling phenomenon between the membrane vibration<br />

modes and the 50 Hz frequency radiated by many devices<br />

connected to the AC mains, the piston mode is better not to<br />

appear at lower frequencies than 50 Hz. Taking this factor<br />

into account, the design of Fig. 6-e is the most interesting.<br />

V. CONCLUSION AND PERSPECTIVES<br />

Today, conventional microspeakers are broadly used in<br />

different electronic mobile devices. However, their low<br />

efficiency and poor sound quality have stimulated this<br />

work. In the presented design based on MEMS technology,<br />

the emissive surface is a stiffened silicon membrane whose<br />

piston motions generate sound waves. The optimized<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

membrane in lightness and rigidity terms will allow<br />

obtaining better acoustic quality and higher efficiency than<br />

conventional microspeakers. This study has led to the<br />

design of suspension springs able to provide very important<br />

out plane displacements of 300 µm of the membrane. This<br />

essential step will enable to get the displacements desired<br />

for the membrane, while limiting the maximum principal<br />

stress value at 36 MPa. This is the guarantee of reliability<br />

and long lifetime for the microspeaker.<br />

Now that the structured membrane and its suspension<br />

have been designed, their micromachining is the next step<br />

of this work. In this way, a SOI substrate will be first<br />

patterned and etched in front side. After patterning and<br />

temporary bonding onto a silicon wafer, the back side will<br />

be structured using deep reactive ion etching (DRIE)<br />

process. Then, through an anisotropic etching of the buried<br />

silicon oxide layer, the membrane will be set free. Once the<br />

membrane is suspended, mechanical characterizations will<br />

be carried out to get the force-displacement characteristics<br />

and verify the vibration modes frequencies.<br />

ACKNOWLEDGMENT<br />

This work has been financially supported by the French<br />

Agence Nationale pour la Recherche (ANR).<br />

REFERENCES<br />

[1] W. Kim, G.-W. Jang and Y. Y. Kim, "Microspeaker diaphragm<br />

optimization for widening the operation frequency band and<br />

increasing sound pressure level", IEEE Trans. Mag., vol. 46, no. 1,<br />

January 2010, 59-66.<br />

[2] C.-M. Lee, J.-H. Kwon, K.-S. Kim, J.-H. Park, and S.-M. Hwang,<br />

"Design and analysis of microspeakers to improve sound<br />

characteristics in a low frequency range", IEEE Trans. Mag., vol.<br />

46, no. 6, June 2010, 2048-2051.<br />

[3] S.-S. Je, F. Rivas, R. E. Diaz, J. Kwon, J. Kim, B. Bakkaloglu, S.<br />

Kiaei, and J. Chae, “A compact and low-cost MEMS loudspeaker<br />

for digital hearing aids”, IEEE Trans. Biomed. Circ. and Syst., vol.<br />

3, n°5, 2009, 348-358.<br />

[4] I. Shahosseini, E. Lefeuvre, M. Woytasik, J. Moulin, X. Leroux, S.<br />

Edmond, et al. "Towards High Fidelity High Efficiency MEMS<br />

Microspeaker", 9 th annual IEEE Conference on Sensors 2010,<br />

November 1-4, Hawaii, 2010.<br />

[5] M. C. Cheng, W. S. Huang, and S. R. S. Huang, “A silicon<br />

microspeaker for hearing instruments” J. Micromech. Microeng. 14<br />

(2004) pp. 859-866.<br />

[6] I. Shahosseini1, E. Lefeuvre, J. Moulin, M. Woytasik, E.<br />

Martinicic, et al., "Efficiency optimization of a MEMS<br />

electrodynamics microspeaker", unpublished.<br />

[7] R. Liu, H. Wang, X. Li, J. Tang, S. Mao, and G. Ding, "Analysis,<br />

simulation and fabrication of MEMS springs for a micro-tensile<br />

system" J. Micromech. Microeng. 19, 2008, 015027 (10pp).<br />

[8] D. Bachmann, B. Schöberle, S. Kühne, Y. Leiner, C. Hierold,<br />

“Fabrication and characterization of folded SU-8 suspensions for<br />

MEMS applications”, Sensors and Actuators A 130–131, 2006, pp.<br />

379–386.<br />

262


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Modulation Instability in RF MEMS Devices<br />

Romolo Marcelli 1 , Giancarlo Bartolucci 1,2 , Giorgio De Angelis 1 , Andrea Lucibello 1 and Emanuela Proietti 1<br />

1 CNR-IMM Roma, Via Fosso del Cavaliere 100, 00133 Roma, Italy<br />

2 Dept. of Electronic Engineering, University of Roma “Tor Vergata”, Via del Politecnico 1, 00133 Roma, Italy<br />

Abstract- Modulation instability generated by mechanical<br />

frequencies in RF MEMS switches is predicted and its<br />

potential contribution to the RF signal degradation is<br />

discussed. In particular, evaluations have been performed for<br />

double clamped configurations in shunt capacitive devices. As<br />

a conclusion, it is evidenced the possibility for the excitation of<br />

satellites affecting as noise sources higher than -40 dB the<br />

spectral purity of microwave sources.<br />

I. INTRODUCTION<br />

High power effects in RF MEMS devices could be a<br />

limiting factor in their performances because of selfactuation<br />

of micro-switches [1][2][3] or non-linear response<br />

and excitation of satellite frequencies [4][5][6][7]. In the<br />

first case we have a failure of the device related to the unwanted<br />

actuation, eventually caused by micro-welding due<br />

to the increase of temperature during and after the bridge<br />

collapse. In the second case, the RF power carried by the<br />

signal flowing through the microwave transmission line can<br />

excite transversal and longitudinal mechanical modes,<br />

contributing to the degradation of the signal.<br />

In this paper the reconstruction of the spectrum due to the<br />

presence of mechanical resonances of the beam of a shunt<br />

connected RF MEMS switch is presented, with the aim to<br />

evaluate the contribution of inter-modulation products to the<br />

RF signal processed by the switch.<br />

II. RF SIGNAL PROCESSING IN RF MEMS<br />

Micro-electromechanical switches for Radio Frequency<br />

applications (RF MEMS switches) are movable microsystems<br />

which commute from an ON to an OFF state by<br />

means of the collapse of a metalized beam [8]. They can be<br />

actuated in several ways but, generally, the electrostatic<br />

actuation is preferred because no current is flowing in the<br />

device nor power absorption has to be involved in the<br />

process. In a coplanar waveguide (CPW) configuration, like<br />

that shown in Fig. 1 and Fig. 2, the bias DC voltage signal is<br />

usually separated with respect to the RF signal for<br />

application purposes. Anyway, in the simplest mechanical<br />

model, a voltage difference V is imposed between the metal<br />

bridge, connected to the ground plane of a coplanar<br />

waveguide (CPW) structure, and the central conductor of<br />

the CPW, which also carries the high frequency signal.<br />

Under these circumstances, the switch will experience an<br />

electrostatic force which is balanced by its mechanical<br />

stiffness, measured in terms of a spring constant k. The<br />

balance is theoretically obtained until the bridge is going<br />

down approximately (1/3) of its initial height. After that, the<br />

bridge is fully actuated, and it needs a value of V less than<br />

the initial one to remain in the OFF (actuated) position,<br />

because contact forces and induced charging effects help in<br />

maintaining it in the down position.<br />

Fig. 1. Typical capacitive RF MEMS shunt switch in CPW configuration<br />

Fig. 2. Cross section of the switch structure, where the metal bridge is<br />

suspended by means of dielectric anchors on a multilayer composed by: (i)<br />

the air gap g with respect to (ii) a metal thin layer at a floating potential<br />

(FM) to be used for improving the capacitance definition in the down<br />

position, (iii) a dielectric layer with thickness d deposited onto (iv) the<br />

metal M of the central conductor of the CPW, and finally (v) the SiO 2<br />

thermally grown layer onto the high resistivity silicon wafer.<br />

The RF power carried by the signal flowing in the central<br />

conductor of the CPW can be written as:<br />

RF<br />

out<br />

1<br />

2<br />

in<br />

2<br />

RF<br />

RF<br />

IVP<br />

RF<br />

Pin<br />

2 Z0<br />

(1)<br />

[ ]<br />

M<br />

1 V<br />

+−=<br />

PPPP<br />

r<br />

===<br />

Where: V RF and I RF are the effective RF voltage and<br />

current respectively, and Z 0 is the characteristic impedance<br />

of the line. P out is the output power, which is obtained from<br />

the input power P in decreased by the power coupled to the<br />

mechanical structure P M and the reflected power P r . The<br />

necessity to distinguish between the last two contributions<br />

depends on the different nature of the transferred power: the<br />

263


first one is due to the coupling of the EM field with the<br />

bridge, which senses a force induced by the RF voltage, and<br />

the second one is due to the electrical mismatch along the<br />

line, and it is almost independent of the presence of the<br />

bridge in a given location, for beams far at least 2 μm ca.<br />

from the central conductor of the CPW. On the other hand,<br />

for an almost perfectly matched line we can assume that the<br />

last contribution is negligible.<br />

The frequency of resonance for the bridge (or cantilever,<br />

or any other mechanical structure) is given by the well<br />

known equation:<br />

ω<br />

M<br />

k<br />

m<br />

1 k<br />

== π<br />

M<br />

;2<br />

ff<br />

M<br />

=<br />

(2)<br />

2π<br />

m<br />

i.e. the angular frequency ω M is defined by means of the<br />

spring constant k and the mass m, eventually modified in an<br />

effective value m eff with respect to the nominal one because<br />

of additional contributions (gas damping, holes, …) to be<br />

included and considered in the structure.<br />

Frequencies due to the longitudinal excitation modes have<br />

vlong<br />

to be also included. As well established flong<br />

= ,<br />

λlong<br />

where λ long is the wavelength of the longitudinal mode, and<br />

v long is the longitudinal velocity of the oscillating structure.<br />

For a double clamped configuration, we have λ long = 2L<br />

for the fundamental mode, where L is the full length of the<br />

T<br />

bridge, and v long = , where T is the tension and µ is<br />

μ<br />

the mass per unitary length. T is related to the constraints at<br />

the ends. For this reason, strain and residual stress on the<br />

beam due to the manufacturing process should play a<br />

dominant role. For µ, after some algebra, we can get<br />

μ eff = ρ eff LtA<br />

, being A eff the effective area of the bridge<br />

accounting for the presence of holes, t the thickness and ρ<br />

the density. Because of the above considerations we can<br />

write the following formula for f long :<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

power [7],[9]. The force sensed by the beam will be the<br />

result of the composition of voltage contributions coming<br />

from all of the above effects.<br />

By using the relation between the power and the energy<br />

P = ωE , and considering that the power processed by the<br />

MEMS has to be lower with respect to the threshold value<br />

needed for the actuation of the switch, we can also write:<br />

RF<br />

8 k<br />

2<br />

0 in<br />

=


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

⎛ ⎞<br />

⎜ −=<br />

M<br />

out PP 2P<br />

⎛ 2P<br />

⎞<br />

characteristic frequencies ω M and ω long .<br />

in 1 ⎟ ;<br />

⎝ P<br />

⎜ −=<br />

M<br />

IL Log 11 ⎟<br />

The 0<br />

approximated value for the actuation voltage for a<br />

in ⎠<br />

⎝ Pin<br />

⎠ (7)<br />

central actuation is obtained by using the definition of the<br />

spring constant for the entire structure. The spring constant<br />

The approach for calculating the absorbed power by<br />

k is a measure of the potential energy of the bridge<br />

longitudinal modes is the same given in Eq. (5), thus leading<br />

accumulated as a consequence of its mechanical response to<br />

to:<br />

the electrical force due to the applied voltage V. An<br />

approximated definition of it for central actuation can be<br />

given by [8],[10]:<br />

1<br />

2<br />

Plong<br />

= ωlongCVRF<br />

(8)<br />

2<br />

3<br />

k k k =+=<br />

K 32 Ewr<br />

i.e. the capacitance will be affected by both longitudinal<br />

and transversal modes, and, by using the same formalism<br />

introduced in the previous equations, the full power<br />

transferred to the mechanical system by the RF signal<br />

passing through the line will be:<br />

2<br />

( ) CV<br />

' 1<br />

PM<br />

M ωω+= long RF<br />

(9)<br />

2<br />

The above equation is the measure of the total power<br />

transferred to the beam because of the RF signal to both<br />

longitudinal fundamental mode and transversal mode.<br />

Higher order longitudinal modes will absorb a power<br />

fraction scaled by the order of the excited mode, with lower<br />

amount of power for the highest modes.<br />

It is worth noting that in the spectrum reconstruction the<br />

above contributions have to be separated, leading to<br />

different values of the peak power. In particular, we should<br />

have the following distribution, which accounts also for the<br />

excitation of the two satellites:<br />

I<br />

I<br />

I<br />

out<br />

out<br />

out<br />

( ω )<br />

RF<br />

( )<br />

RF<br />

long<br />

( ωω ) ω CZ<br />

RF<br />

M<br />

in<br />

long<br />

that the frequency of resonance and the capacitance<br />

associated to the beam can be calibrated to fully absorb the<br />

RF signal. Such a result is particularly interesting for<br />

resonating structures based on double clamped<br />

configurations, because the maximum absorption<br />

corresponds to a resonance condition. Actually, such a<br />

device is a notch filter and it could be used as a feedback<br />

element in a one port oscillator. Another conclusion coming<br />

out from Eq. (10) is that the power released to the<br />

mechanical structure does not depend on the frequency of<br />

the carrier, but just on the geometry of the beam and its<br />

+ K<br />

2<br />

where:<br />

K<br />

1<br />

r =<br />

=<br />

t<br />

L<br />

E<br />

σ<br />

[ ( − ) wr ]<br />

1<br />

( )<br />

18 νσ<br />

1<br />

; K<br />

2<br />

⎛ L ⎞⎛<br />

L ⎞<br />

⎜ 22<br />

−− ⎟⎜<br />

⎟<br />

⎝ L ⎠⎝<br />

L ⎠<br />

1<br />

=<br />

Lc<br />

2 −<br />

L<br />

2<br />

cc<br />

(11)<br />

(12)<br />

L is the bridge total length, L c is the switch length in the<br />

RF contact region (width of the central conductor of the<br />

CPW), w is the bridge width, t is the Au thickness of the<br />

bridge. The other parameters are the Young modulus E, the<br />

residual stress σ and the Poisson coefficient ν. As well<br />

established, the Young modulus is an intrinsic property of<br />

the material, and specifically it is a measure of its stiffness.<br />

Let’ use, as an example, the following structure for a RF<br />

MEMS switch in coplanar waveguide (CPW) configuration:<br />

L=600 μm as the bridge total length, L<br />

2( M<br />

PP<br />

long<br />

)<br />

c =300 μm as the<br />

+<br />

1−=<br />

Z021<br />

(<br />

M<br />

+− ωω<br />

long<br />

) C<br />

switch length<br />

=<br />

in the RF contact region (width of the central<br />

P<br />

conductor of the CPW), w=100 μm as the bridge width,<br />

in<br />

w S =100 μm for the switch width (transversal dimension of<br />

PM<br />

ωωω<br />

M<br />

==±<br />

0 MCZ<br />

(10) the switch, parallel with respect to the CPW direction),<br />

Pin<br />

d=thickness of the dielectric material=0.2 μm, with<br />

dielectric constant ε=3.94 (SiO<br />

P<br />

2 ), t=1.5 μm for the gold<br />

long<br />

==± bridge, ρ=19320 kg/m 3 for the gold density, E=Young<br />

0 long<br />

P<br />

modulus=80×10 9 Pa, ν=0.42 for the metal Poisson<br />

coefficient and σ=18 MPa as the residual stress of the metal<br />

From the first of Eq. (10) it is worth noting that the (measured on specific micromechanical test structures). A<br />

intensity of the central peak could vanish under the uniform distribution of holes with 5 µm radius and distant<br />

condition 21 Z 0 ( ω ω ) C =+−<br />

0 . This is an evidence 10 µm each other has been also considered, leading to<br />

effective values in terms of the beam area and spring<br />

constant.<br />

A recent experimental approach was also adopted for<br />

evaluating the contribution of the spring constant and for<br />

modeling it on the base of nano-indentation techniques[9].<br />

All the quantities previously introduced have to be redefined<br />

because of the presence of holes in the released<br />

beam. The holes need to be used for an easier removal of<br />

the sacrificial layer under the beam, and for mitigating the<br />

stiffness of the gold metal bridge, i.e. for better controlling<br />

the applied voltage necessary for collapsing it, to have not<br />

values too high because of the residual stress.<br />

265


11-13 <br />

May 2011, Aix-en-Provence, France<br />

In this framework, we have re-calibrated the material<br />

<br />

to ω M =1.38x10 5 s -1 , ω long =7.66x10 7 s -1 ). By using Eq. (10)<br />

properties accounting for the holes distribution on the metal we get the normalized values I(ω RF ±ω M )≈10 -6 and<br />

beam. Literature definitions [8],[11],[12], are generally I(ω RF ±ω long )≈5.7x10 -4 . The performed computation is valid<br />

accepted for analytically describing the effect of the holes for a bridge 100 µm wide. The characteristic frequencies are<br />

by means of the pitch, i.e. the center-to-center distance p not affected by the change in the area, but it is true for the<br />

between the holes and the edge-to-edge distance l. The capacitance C, which is proportional to the area. By using<br />

situation is explained in the Fig. 3. In this way, the ligament beams 50, 100 and 200 µm wide respectively, the<br />

efficiency will be given by the term (1-(l/p)) and such a term corresponding normalized intensities for the mechanically<br />

will be used in this paper for evaluating the effective coupled power will be I(ω RF ±ω M )≈(0.5, 1.0 and 2)×10 -6<br />

quantities which are decreased with respect to the original whereas I(ω RF ±ω long )≈(2.8, 5.7 and 11.4)×10 -4 . By<br />

one. Following this approach, σ eff =σ(1-(l/p)), while expressing these values in dB, we experience, for a matched<br />

E eff =E(1-(l/p)), ν eff =ν(1-(l/p)).<br />

CPW line, a negligible decrease in the output intensity. In<br />

For the effective mass, we preferred to use a definition particular, a -60 dB level is expected for transversal<br />

based on the ratio between the area with and without the contributions, and -40 dB for the longitudinal ones. In real<br />

holes, thus obtaining m eff =m(A/A 0 ), where A 0 is the situations, also the line can be not perfectly matched,<br />

geometrical area of the beam and A is the effective one because of the presence of the bridge, leading to some<br />

considering the presence of the holes. All the evaluations power loss due to the grounded metal beam surmounting the<br />

which will be shown in this paper are based on the central conductor of the CPW. In fact, from the<br />

previously defined quantities, calculated accounting for manufactured actual device shown in Fig. 4 we got the<br />

their effective contribution.<br />

transmission loss measured in Fig. 5, clearly higher than<br />

that expected just for the mechanical coupling, which<br />

should be 4×10 -3 dB in the worst case (longitudinal<br />

excitation).<br />

(a)<br />

(b)<br />

Fig 3. Typical shape of (a) a perforated beam used for RF MEMS<br />

double clamped switches and pitch definition, and (b) enlarged view of the<br />

actual device. Holes are realized for facilitating the sacrificial layer<br />

removal and their position, number and dimensions are properly tailored<br />

depending on the application.<br />

From the residual stress we can get a value of the tension<br />

by assuming that k σ in Eq. (11) is the longitudinal force per<br />

unitary length on the beam, and Eq. (3) can be transformed<br />

into:<br />

f<br />

long<br />

1<br />

=<br />

2L<br />

v<br />

λ<br />

long<br />

long<br />

T<br />

eff<br />

1 T<br />

==<br />

2L<br />

μ<br />

eff<br />

1 kσ<br />

=<br />

2LLm<br />

m<br />

eff<br />

(13)<br />

From the above equations, and considering that Z 0 =50<br />

ohm, C=0.15 pF, it turns out V threshold =12 V ca for central<br />

actuation, f M =20 kHz, and f long =13 MHz (which corresponds<br />

Fig. 4. Test-fixture structure of the manufactured RF MEMS switch. The<br />

input and output ports are connected to a vector network analyzer by means<br />

of coplanar probes for on-wafer characterization.<br />

S 21<br />

[dB]<br />

0.0<br />

-0.2<br />

-0.4<br />

-0.6<br />

-0.8<br />

Simple Line<br />

W = 50 μm<br />

W = 100 μm<br />

-1.0<br />

0 5 10 15<br />

Frequency [GHz]<br />

Fig. 5. Measured Insertion Loss for the RF MEMS switch having the bridge in<br />

up position (ON state). A simple CPW line is compared with the response of<br />

the 50 and 100 µm wide beams.<br />

266


So far, the expected major contribution in low power<br />

regime of the satellite excitation will be not in having an<br />

increase in the losses, but in those applications where signal<br />

routing is essential for re-directing the RF output by means<br />

of filtering stages needing a high rejection ratio, low ripple<br />

level and a very high spectral purity. Moreover, we think<br />

that the simplified approach given in Eq. (10), based on low<br />

power computation, has to be improved for medium and<br />

high power applications, where a non-linear treatment of the<br />

coupling, which will be power dependent, is more correct.<br />

As a matter of fact, to have noise sources higher than -40 dB<br />

will have contra-indications in the power spectral purity of<br />

Digital Synthesizers, because the contributions will be close<br />

to the source carrier and not far like the high order<br />

harmonics, which can be easily canceled by means of bandpass<br />

filters. Experimental analysis in the frequency domain<br />

is in progress for the exact determination of the satellites<br />

contribution to the spectral purity of the RF signal.<br />

III. CONCLUSION<br />

In this paper, the contribution of the input power to the<br />

modulation instability of RF MEMS devices associated to<br />

transversal and longitudinal oscillation modes of a double<br />

beam structure has been studied.<br />

As a result, the transversal mode will be the main<br />

responsible for signal degradation, because of its proximity<br />

with respect to the RF carrier frequency, but the longitudinal<br />

one could also contribute for losses and degradation<br />

depending on the system requirements.<br />

REFERENCES<br />

[1] Karl M. Strohm et al., “RF-MEMS Switching Concepts for High<br />

Power Applications”, Proceed. of 2001 IMS, pp.42-46 (2001).<br />

[2] B. Pillans, J. Kleber, C. Goldsmitht, M. Eberly, Proceedings of the<br />

2002 IEEE MTT-Symposium 329 (2002).<br />

[3] E.P. McErlean, J.-S. Hong, S.G. Tan, L. Wang, Z. Cui, R.B. Greed<br />

and D.C. Voyce, IEE Proceedings on Microwave Antennas Propagation,<br />

Vol.152, 449 (2005).<br />

[4] J. B. Muldavin and G. M. Rebeiz, “Nonlinear Electro-Mechanical<br />

Modeling of MEMS Switches”, Proceed. of IEEE MTT Symposium,<br />

pp.21119-2122 (2001).<br />

[5] Conor O’Mahony Russell Duane Martin Hilland Alan Mathewson,<br />

“Electromechanical Modelling of Low-Voltage RF MEMS Switches”,<br />

Proceed. of DTIP Montreux, Switzerland, 12-14 May 2004.<br />

[6] E.K. Chan, E.C. Kan and R.W. Dutton: “Nonlinear Dynamic<br />

Modeling of Micromachined Switches”, Proceed. of IEEE MTT-Symposium,<br />

pp.1511-1514 (1997).<br />

[7] E. Brusa and M. Gh. Munteanu, “Role of Nonlinearity and Chaos<br />

on RF-MEMS Structural Dynamics”, Proceed. of DTIP 2009 Conference,<br />

Roma, 1-3 April 2009.<br />

[8] G. M. Rebeiz, “RF MEMS, Theory, Design and Technology”, John<br />

Wiley and Sons, Hoboken, 2003.<br />

[9] Robert W. Stark, “Bistability, higher harmonics, and chaos in<br />

AFM”, Materials Today, Vol. 13, Issue 9, pp.24-32 (2010).<br />

[10] Balaji Lakshminarayanan, Denis Mercier, and Gabriel M. Rebeiz<br />

“High Reliability Miniature RF-MEMS Switched Capacitors”, IEEE Trans.<br />

on Microwave Theory and Tech., Vol.56, No. 4, pp.971-981 (2008).<br />

[11] V. L. Rabinov, R. J. Gupta and S. D. Senturia, “The effect of<br />

release etch-holes on the electromechanical behaviour of MEMS structures”,<br />

in IEEE Int. Conf. on Solid-State Actuators, Chicago, pp. 1125-1128, 1997<br />

[12] G. De Pasquale, T. Veijola, and A. Somà, “Gas Damping Effects<br />

on Thin Vibrating Gold Plates: Experiment and Modeling”, Proceed. of DTIP<br />

2009 Conference, Roma, 1-3 April 2009.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

267


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Study of Screen-printing Microlens Array Using<br />

Electroforming Molds<br />

Ming-Je Lin 1 , Hsiharng Yang 1 , Feng-Tsai Weng 2<br />

1 Institute of Precision Engineering, National Chung Hsing University, Taichung, Taiwan 402<br />

2 Institute of Mechanical and Electro-Mechanical Engineering, National Formosa University, Yunlin, Taiwan 632<br />

Abstract- This paper aims to produce micro-lens array by<br />

using MEMS technology. It combines LIGA-like technology for<br />

micro-lens array fabrication to design and integration of<br />

screen-printing process. In the experiment, we found that the<br />

working temperature played an important role in shape transfer<br />

process. The microlens density and arrangement also affect the<br />

mean height of photoresist after thermal reflow. This study uses<br />

LIGA-like technologies at the early stage to develop<br />

screen-printing mold. Then, the electroforming screen mold can<br />

be re-used, that synthetic development of high-mirror high (high<br />

sag) micro-lens array, this is an innovative and unique method.<br />

The experiment success and make the simple micro-lens<br />

manufacturing process, with forming fast, easy to melt, reduce<br />

costs and micro-structure, appearance, easy to retain the<br />

advantages.<br />

I. INTRODUCTION<br />

Microlens array is the key component to the miniaturization<br />

of conventional optical devices. The field of micro-optics<br />

plays an important role in visual display products such as,<br />

liquid crystal displays (LCDs), mobile phone panels and<br />

personal digital accessories (PDAs). One major benefit of<br />

using microlenses is that they enhance the illumination<br />

brightness and simplify light-guide module construction. In a<br />

laptop display, a 25% increase in light output has been<br />

reported when using the microlens technology [1]. There are<br />

other potential benefits too, such as focal plane optical<br />

concentration, optical efficiency enhancements, color<br />

separation, beam shaping and miniature optical scanning.<br />

Micromanufacturing technology allows compact, and<br />

mini-features to be fabricated. Micro-electro-mechanical<br />

system (MEMS) technology has a growing number of<br />

applications in military, industrial, and consumer markets.<br />

For this reason, many academic and research institutions are<br />

currently involved in MEMS technology product research and<br />

development. Component miniaturization is a common<br />

objective in electro-optical systems. Miniaturizing devices<br />

using micro-optics has revolutionized many electro-optical<br />

systems - including video cameras, video phones, compact<br />

disk data storage, robotic vision, optical scanners, and high<br />

definition projection displays. Higher accuracy and lower<br />

microlens fabrication costs are needed to meet the rapid<br />

growth in demand for these devices. Micro-scale refractive<br />

lenses offer several important features: significantly reduced<br />

wavelength sensitivity compared to diffractive optics<br />

(necessary for broadband applications), the possibility of very<br />

large numerical apertures and high light efficiency.<br />

Several fabrication techniques have been applied to the<br />

refractive microlens fabrication processes. One method of<br />

fabricating refractive microlenses is by melting cylindrical<br />

photoresist posts. This is known as microlens reflow<br />

processing [2]. Photoresist cylinders are formed using a<br />

lithographic process and then heated above the photoresist<br />

glass transition temperature. Surface tension causes the<br />

photoresist cylinders to assume a spherical shape. Surface<br />

tension also leads to relatively short focal lengths in the<br />

resulting microlenses (i.e., high numerical apertures). The<br />

reflow process produces large microlens arrays. This process<br />

is extraordinary compared with conventional macro-optic<br />

fabrication methods. In very large scale integration (VLSI)<br />

based processing techniques, coherent refractive microlens<br />

arrays are made on a silicon surface using a combination of<br />

lithography and reactive ion etching (RIE) techniques.<br />

Multi-level photoresist mask patterning and sequential RIE<br />

are used to form binary optic microlens arrays. A laser writing<br />

system for continuous-relief microoptical element fabrication<br />

in photoresist was described by Gale et al. [3]. The<br />

photoresist-coated substrate was exposed using x-y raster<br />

scanning under a focused HeCd laser beam (λ=442 nm),<br />

synchronously programmable controlled in intensity to write<br />

two-dimensional (2-D) exposed patterns. Further<br />

development of 3-D microstructures with analogous topology<br />

using excimer laser ablation (λ=248 nm) produced versatile<br />

micro-optic applications [4]. Microlens arrays with lateral<br />

dimensions from 10 to 1000μm and profile heights of up to<br />

10μm were fabricated using this technique. An optimal gray<br />

scale mask is required to produce fine roughness.<br />

Micro-optics printing technology prints a number of droplets<br />

onto a substrate to form circular microlens arrays [5].<br />

Microlenses ranging in diameter from 20μm to5mmhave<br />

been fabricated in this way. The piezoelectric actuator-based<br />

and drop-on-demand ink-jet printing method was developed<br />

to control different fluid volumes. Liquid droplets were<br />

dispensed onto a substrate to form refractive microlens arrays.<br />

The use of deep x-ray lithography to fabricate microoptical<br />

components shows great potential for mass production. Lee et<br />

268


al. used a modified LIGA (German acronym for LIthografie,<br />

Galvanoformung, and Abformung) process to fabricate<br />

microlenses by melting the deep x-ray irradiated pattern onto<br />

a PMMA (poly-methyl methacrylate) substrate. Using this<br />

technique, microoptical components of any desired shape can<br />

be fabricated [6, 7]. The resulting components have smooth<br />

and vertical sidewalls, lateral dimensions in the micrometer<br />

range, and sag heights of several hundred micrometers. A<br />

molding process (either injection molding or hot embossing)<br />

is required before mass production can be achieved. The<br />

microlens array mold or mold inserts play an important role in<br />

the mass molding production process. This replication<br />

process promises the desired profile as final products.<br />

A new method for producing microlens array with large<br />

sag heights was investigated for integrated fluorescence<br />

microfluidic detection systems [8]. Three steps in that<br />

production technique were included for concave microlens<br />

array formations to be integrated into microfluidic systems.<br />

The micro concave lens molds were then finished and ready<br />

to produce convex microlens in PDMS material. Using a<br />

LIGA-like process to fabricate microlens arrays is<br />

considerably less expensive using a UV exposure tool instead<br />

of deep x-ray lithography. A new microlens array fabrication<br />

method using a UV proximity printing method has been<br />

invented [9]. It uses a slice to control the gap size, resulting in<br />

microlens array formation in the resist. However, this method<br />

was limited to round microlens arrays with low sag heights.<br />

They produced microstructures with smooth surfaces, high<br />

yield rates, and good reliability.<br />

The LIGA-like process provides microlens array<br />

fabricators with high optical quality at low cost. By using the<br />

vacuum pressure to form a microlens array was investigated<br />

[10]. This vacuum suction technique is feasible for certain<br />

microlens array fabrication sizes. Based on the LIGA-like<br />

technology development, this paper will present the<br />

promising technique using the LIGA-like process to pressing<br />

microlens array and investigate the processing parameters for<br />

making microlens array.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig. 1. Illustration of the screen-printing process for microlens array<br />

fabrication.<br />

2.1 Contact angle measurement<br />

The liquid contacts on a solid surface, there is a contact<br />

angle between the solid and liquid drop surfaces. The surface<br />

hydrophobicity of the substrate can determine the microlens<br />

profile. It is necessary to find the contact angles between the<br />

photoresist and different substrates. A surface tension<br />

examiner (FTA200) was used to measure the contact angle.<br />

An example to measure the contact angle between water and<br />

copper coating substrate, the contact angle is 78.35°. The<br />

contact angle between water and silver coating substrate is<br />

60.98°. The contact angle between water and stainless steel<br />

304 is 56.67°. A low contact angle between water and glass<br />

substrate is 22.24° as shown in Fig. 2. The further<br />

experiments to measure the contact angle between photoresist<br />

AZ4620 and stainless steel 304, sopper coating substrate,<br />

glass substrate, the resulted contact angle are 26.43°, 39.33°<br />

and 42.42°. It means that the larger contact angle can result in<br />

a high sag mirolens. From the above experiments, the glass<br />

substrate is chosen for screen printing mirolens array in<br />

photoresist.<br />

II EXPERIEMNTS<br />

The fabrication process mainly applies the LIGA-like<br />

technology. In the conventional microlens array fabrication,<br />

photoresist patterns are formed by lithography process, it<br />

includes mask pattern design, photoresist coating, UV<br />

exposure and development, and thermal reflow. Microlens<br />

array in photoresist is formed by the above steps. The further<br />

mass production will apply electroforming to replicate the<br />

microlens array mold. A different approach is to pattern an<br />

electroforming mold, then directly screen printing photoresist<br />

patterns and thermal reflow formicrolens array fabrication. It<br />

will be suitable for mass production of Microlens array by<br />

using the same mold. The fabrication process is illustrated in<br />

Fig. 1.<br />

Fig. 2 Contact angle measurement of water and glass substrate.<br />

2.2 Lithography process<br />

The lithography process used a PET mask with pattern<br />

layout design is illustrated in Fig. 3. Eight patterns with four<br />

diameters 30, 45, 60, and 80μm as well as two different<br />

spacings 20 and 40 μm are included. Since negative<br />

photoresist JSR THB-126N was used, the resulted patterns<br />

were micro-post array. The opening area is exposed to UV<br />

lithography, monomers in negative photoresist are<br />

cross-linking by photons. Micro-post array in photoresist<br />

remains after development. Micro-post array height is<br />

controlled by spin coating thickness. The relationship is<br />

269


Development 3.5min<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

depicted in Fig. 4. Theoretically, the JSR-THB-126N<br />

<br />

600mJ/cm 2<br />

photresist thickness can be more than 100μm. However, the Hot process<br />

160℃ , 30 min<br />

experimental result was achieved only 23μmthick as shown<br />

in Fig. 5. The related parameters in lithography process is<br />

listed in Table 1. After complete micro-post array, Ni<br />

electroforming was applied to make the screen mesh mold.<br />

Fig. 3. Illustration of PET mask design.<br />

Fig. 4. Relationship between spin speed and photoresist thickness when spin<br />

coating JSR-THB126N.<br />

(a)<br />

Fig. 5. Micro-post thickness measurement.<br />

Table 1 Experimental parameters in lithography process.<br />

Base plate clean H 2SO 4:H 2O 2=3:1 wash<br />

Acetone:60 min<br />

DI Water wash,N 2 dry<br />

120℃bake 20 min dry<br />

Seed layer deposition Sputtering Ag 200 nm<br />

Spin coating Spread : 500 rpm 10 sec<br />

Spin : 2000-800 rpm 30 sec<br />

Soft bake 90℃ 3min<br />

Hold 5 min<br />

Exposure<br />

350W, Near UV<br />

2.3 Ni electroforming screen mesh mold<br />

The electrolyte composition of Ni electroforming<br />

include nickel sulfamate 450 g/L, 40 boric acid 40 g/L, and<br />

wetting agent 3 mL/L. The wetting agent is also called<br />

interface surfactant, it reduces the electrolyte surface tension<br />

to help hydrogen bubbles away from the substrate surface.<br />

The electrolyte pH value was controlled between 3.7 and 4.0.<br />

Since the pH value trends to slightly increase during<br />

electroforming, the initial pH value is set to 3.7. The<br />

operating temperature was controlled at 45℃. The starting<br />

current density was 1 ASD (A/dm 2 ). A high current density<br />

(larger than 7 ASD) may result in pin holes and large grains, a<br />

low current density also results in low growth rate and<br />

impurities. Once complete Ni electroforming, the mold was<br />

immersed into DI water with ultrasonic agitation to release<br />

from the substrate. The finished screen printing mold in<br />

nickel with 30mm×20mm size is shown in Fig. 6(a). The<br />

optical microscopic photograph showing the spacing between<br />

two openings is 25μm.<br />

(b)<br />

Fig. 6. Ni electroforming screen printing mold; (a) outlook of the screen<br />

printing mold, (b) OM photograph of the mold.<br />

2.4 Screen printing process<br />

The finished electroforming mold is a thin sheet. A frame<br />

to support the sheet is machined by a CNC machine as shown<br />

in Fig. 7(a). Then the sheet mold is attached to the supporting<br />

frame as shown in Fig. 7(b). The screen printing process was<br />

performed as described in Fig. 1. The screen mold was to<br />

define photoresist patterns after scraping. The achieved<br />

patterns by using different mold diameters are shown in Fig. 8.<br />

After defining patterns, the specimen was put in the oven at<br />

270


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

temperature 120 ℃ for 2 minutes. The OM photographs of<br />

patterns before and after thermal reflow are shown in Fig. 9.<br />

(a)<br />

(b)<br />

Fig. 7. The frame (a) and screen mold (b) for screen printing.<br />

(a)<br />

(b)<br />

Fig. 9. OM photographs of patterns before (a) and after (b) thermal reflow.<br />

III. RESULTS<br />

The finish screen mesh mold has the average thickness<br />

16μm. Eight microlens arrays with different patterns were<br />

completed by using this screen printing process. The large<br />

lens diameter trends to have a low sag height. An example is<br />

shown in Fig. 10. Microlens with diameter 108.5μm, its sag<br />

height is 3.87μm. Comparing a smaller microlens with<br />

diameter 85µm, its sag height is 7.6µm. Since the screen<br />

mold has the same thickness, the large lens diameter resulted<br />

in a large radius of curvature. The sag height is getting<br />

smaller. The experiment is successful to make the microlens<br />

array in a simple manufacturing process with fast formation,<br />

cost reduction and controllable microstructure appearance<br />

advantages. The further related process technology is benefit<br />

to optical lens industry.<br />

(b)<br />

Fig. 8. Pattern profiles of differenet mesh mold diameters; (a) 60μm, (b)<br />

100μm.<br />

(a)<br />

(a)<br />

(b)<br />

Fig. 10. Microlens array fabricated by screen printing; (a) OM photograph,<br />

(b) 3D profile.<br />

IV CONCLUSION<br />

The experiment was successful to use the screen printing<br />

271


11-13 <br />

May 2011, Aix-en-Provence, France<br />

mold for fabricating Microlens array. The thermal reflow<br />

<br />

temperature is 120 ℃ enable to melt micro-post patterns.<br />

Microlens arrays with different sags ranged from 3.87 to 7.6<br />

µm are completed. This technology is scalable for large area<br />

microlens array replication.<br />

ACKNOWLEDGMENT<br />

This work was supported by the National Science Council<br />

(series no. NSC 99-2221-E-150-047) of Taiwan<br />

REFERENCES<br />

[1] B. Ezell,“Making microlens backlights grow up,”Inf. Disp.,17,<br />

pp.42–45, 2001.<br />

[2] N.F.Borrelli,D.L.Morse,R.H.Bellman,andW.L.Morgon,<br />

“Photolytic technique for producing microlenses in photosensitive<br />

glas,” Applied Optics, 24, 2520, 1985.<br />

[3] M.T. Gale, M. Rossi, J. Pedersen and H. Schutz H.,“Fabrication of<br />

continuous-relief micro-optical elements by direct laser writing in<br />

photoresists,”Optical Engineering, vol. 22, no. 11: 3556-3566,<br />

1994.<br />

[4] K. Zimmer, D. Hirsch and F. Bigl,“Excimer laser machining for the<br />

fabrication of analogous microstructures,”Applied Surface Science,<br />

vol. 96-98: 425-429, 1996.<br />

[5] W.R. Cox, T. Chen and D. Hayes,“Micro-Optics fabrication by<br />

ink-jet printing,”Optics & Photonics News, vol. 12, no. 6: 32-35,<br />

2001.<br />

[6] J. Goettert and J. Mohr,“Characterization of micro-optical components<br />

fabricated by deep-etch x-ray lithography”SPIE: Micro-Optics II,<br />

vol. 1506: 170-178, 2002.<br />

[7] S.K. Lee et al.,“A simple method for microlens fabrication by the<br />

modified LIGA process,” Journal of Micromechanics and<br />

Microengineeing, vol. 12: 334-340, 2002.<br />

[8] H. Yang, R.F.Shyu,J.-W. Huang,“New production method of<br />

convex microlens arrays for integrated fluorescence microfluidic<br />

detection systems,”Microsystem Technologies, vol. 12, pp. 907-912,<br />

2006.<br />

[9] C.-P. Lin, H. Yang, C.-K. Chao,“A new microlens array fabrication<br />

method using UV proximity printing,”Journal of Micromechanics<br />

and Microengineering, vol. 13: 748-757, 2003.<br />

[10] R. F. Shyu and H. Yang,“A promising thermal presing used in<br />

fabricating microlens array,”International Journal of Advanced<br />

Manufacturing Technology, vol. 36, pp. 53-59, 2008.<br />

272


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Polymer-based Fabrication Techniques for Enclosed<br />

Microchannels in Biomedical Applications<br />

Annabel Krebs, Thorsten Knoll, Dominic Nussbaum, Thomas Velten<br />

Fraunhofer Institute for Biomedical Engineering<br />

Ensheimer Str. 48, 66386 St. Ingbert, Germany<br />

Abstract- Investigations and analyses of body fluids like<br />

serum or whole blood are essential tasks in biomedical<br />

research in order to understand and diagnose diseases, to<br />

conduct pharmacological tests or to culture cells. Therefore,<br />

microfluidic systems provide a favorable tool for processing<br />

fluid samples as they allow downscaling of sample volumes and<br />

handling of single fluid components such as cells or proteins.<br />

For this reason, we present simple fabrication techniques for<br />

microchannel systems using polymer materials only. On the<br />

one hand, these materials are low-priced compared to<br />

conventional silicon or glass. On the other hand, they do not<br />

show any interaction with biological fluids. Furthermore, their<br />

transparency guarantees an easy observability of all processes<br />

within the system. Depending on the channel dimensions,<br />

different adhesion bonding techniques for closing of the<br />

systems are applied, whereas the fluidic interfaces are<br />

included. Summing up, we provide complete fabrication<br />

processes for fluidic systems which are simpler and more costeffective<br />

than conventional methods and yet cope with all<br />

essential requirements for microfluidic applications.<br />

I. INTRODUCTION<br />

Microfluidic systems have become a common tool in<br />

research fields like analytical chemistry or biomedicine as<br />

miniaturized devices require only small material and sample<br />

volumes. Additionally, effects can be exploited which are<br />

only dominant in the micro range. For example,<br />

investigations on cells or other components in blood<br />

samples can be carried out in lab-on-chip systems as<br />

dimensions of the cells are in the same scale as the<br />

microchannels. In biomedicine, lab-on-chip systems have<br />

emerged to indispensable devices for the accomplishment of<br />

medical tests with body fluids or extractions from fluids.<br />

Besides, they also serve for cell handling and culturing,<br />

mixing of liquids, detection and analysis of diseases or for<br />

measuring quantitative amounts of components like glucose<br />

or hormones in blood [1-6].<br />

Depending on the applications of micro systems, certain<br />

requirements to the systems need to be met. In case of<br />

biomedical applications, the transparency of materials is<br />

often a vital aspect in order to be able to follow processes<br />

within the system channels or chambers. Moreover, the<br />

employed materials should not interact with the biological<br />

sample and channels should hold defined dimensions.<br />

Other general demands come along such as leak-proof<br />

closure of the channels and implementation of fluidic<br />

interfaces. Importantly, the whole fabrication procedure has<br />

to be affordable at the same time.<br />

Therefore, we present fabrication techniques for<br />

microfluidic systems with focus on biomedical applications,<br />

meeting the requirements named above. Based on acrylic<br />

glass substrates and the epoxy resists SU-8 and<br />

PerMX3020, reasonable cost of the materials is assured.<br />

These polymer materials are pellucid and do not show<br />

interactions with whole blood or blood components. As<br />

biocompatibility tests with SU-8 have not given cause for<br />

concern [7, 8], SU-8 is currently used in divers biological<br />

research fields [9, 10], although further biocompatibility<br />

studies might be advisable [8]. In Ref. [5], we introduced a<br />

manufacturing technique which also bases upon these<br />

polymer materials. Yet, the here presented, modified<br />

processes enable a wider choice of material combinations as<br />

well as enhanced fabrication reliability and yield.<br />

Additionally, we achieved to double the feasible aspect<br />

ratio.<br />

Hence, a simple fabrication technique for microchannels<br />

is presented which rests upon photolithography and polymer<br />

adhesion bonding. In doing so, very small channels with<br />

aspect ratios higher than 10:1 can be created. In contrast to<br />

conventional hybrid techniques for sealing of channels, we<br />

use different full wafer bonding methods depending on the<br />

channel dimensions. Unlike other working groups that have<br />

already presented high aspect ratios and full wafer adhesion<br />

bonding using silicon or glass substrates [11-13], we<br />

accomplish these processes on polymer substrates. Very<br />

cost-effective and simple structuring techniques can be<br />

applied to these substrates, e.g. fluidic interfaces can be<br />

implemented by mechanical drilling. More complicated,<br />

costly procedures like laser drilling, sand blasting, glass<br />

etching and substrate removals can be obviated. Altogether,<br />

we obtain a complete manufacturing procedure preferable<br />

for biomedical applications which outplays common silicon<br />

or glass techniques in terms of material and total costs. In<br />

comparison with other polymers like polydimethylsiloxane<br />

(PDMS), the presented techniques are adaptive for smaller<br />

channels and higher aspect ratios, thus they qualify for a<br />

wider range of applications.<br />

II.<br />

MATERIALS AND METHODS<br />

A. Materials<br />

1 mm thick 10 cm x 10 cm acrylic glass (polymethyl<br />

methaacrylate, PMMA) plates were used as substrate<br />

materials. Two different epoxy-based photoresists, SU-8<br />

273


and the dry film resist PerMX3020 (DFR), were used as<br />

channel layers. These polymers hold similar chemical<br />

compositions and can be structured via photolithography.<br />

Either SU-8 or DFR also served as adhesive layer for<br />

closing of the channel systems.<br />

B. Channel Layer Fabrication<br />

The PMMA substrate plate was rinsed with isopropyl<br />

alcohol (IPA) and nitrogen. After that, all channel structures<br />

were defined using photolithography. Therefore, two<br />

optional methods and materials were used, either spin<br />

coating of SU-8 or lamination of DFR.<br />

SU-8 was chosen as channel layer material when the<br />

fabrication of very narrow structures with aspect ratios<br />

higher than 2:1 was intended. As it was found out earlier<br />

that structured SU-8 features a stronger adhesion to another<br />

SU-8 layer than to PMMA [5], a thin layer of SU-8 served<br />

as an adhesion layer for the channel SU-8 layer. For this<br />

purpose, a thin layer of SU-8 was spun onto the substrate.<br />

After a pre-exposure bake, the SU-8 was fully exposed to<br />

UV-light and post-baked to achieve an entire<br />

polymerization. Then, the second SU-8 layer was spincoated<br />

on top of the first one and pre-baked. The fluidic<br />

systems were defined during exposure to UV-light using a<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

1. Spin-coating of SU-8 or<br />

lamination of DFR (adhesive<br />

layer) on PMMA substrate<br />

2. UV- Exposure<br />

photo mask which contains the channel structures.<br />

Thereafter, a post-exposure bake took place followed by a<br />

development in a PGMEA developer solution.<br />

For channel dimensions with aspect ratios lower than 2:1,<br />

DFR was opted for the active structure. By means of a<br />

desktop laminator, DFR was laminated on the substrate and<br />

pre-baked. Like in the case of SU-8, the first DFR was fully<br />

exposed for complete cross-linking to form an adhesive<br />

layer. The second layer was exposed using a mask which<br />

contains the channel systems. This layer was post-baked<br />

and also developed in PGMEA. The process flow for the<br />

channel layer fabrication including the fluidic interfaces is<br />

pictured in Fig. 1.<br />

C. Fluidic Interfaces<br />

In contrast to Ref. [5], fluidic interfaces were realized by<br />

CNC-assisted mechanical drilling of the structured PMMAepoxy-stack.<br />

In order to protect the fluidic structures from<br />

contaminations and mechanical damage, they were covered<br />

by a protective foil (V-8-T, Nitto) which can easily be<br />

drawn off after drilling (see Fig. 1).<br />

D. Closing of Channels<br />

Three different techniques of adhesive bonding were<br />

applied and tested for the closure of channels with different<br />

dimensions. The bonding options are shown in Fig. 2.<br />

The first option was to use an additional DFR layer that<br />

was laminated on top of the channel layer, like it was<br />

presented before for moderately large channels with 220 µm<br />

width [6]. This last DFR was fully exposed to serve as the<br />

lid or bottom, respectively.<br />

3. Spin coating of SU-8 or<br />

lamination of DFR<br />

(channel layer)<br />

First bonding approach<br />

1. Lamination of DFR on<br />

top of the channel layer<br />

4. UV-Exposure through<br />

photo mask<br />

2. UV-Exposure of<br />

DFR<br />

5. Development of SU-8/<br />

DFR in devmr600<br />

6. Covering with<br />

protective foil<br />

7. Mechanical drilling of<br />

fluidic interfaces<br />

Second and third bonding approaches<br />

1. Spin-coating of SU-8<br />

or lamination of DFR on<br />

second PMMA substrate<br />

2. Bonding partners are<br />

pressed together<br />

8. Removal of protective<br />

foil<br />

Fig. 1. Process flow of the channel layer fabrication including the fluidic<br />

interfaces.<br />

3. UV-Exposure of<br />

SU-8 / DFR through<br />

PMMA lid<br />

Fig. 2. Process flows of the bonding techniques.<br />

274


11-13 <br />

May 2011, Aix-en-Provence, France<br />

In a second approach, we used another PMMA plate as a<br />

<br />

lid substrate. DFR was laminated on this plate and brought a)<br />

into contact with the channel layer. Defined pressure and<br />

temperature were applied by passing this stack through the<br />

desktop laminator again, so that bonding took place. Final<br />

polymerization and bond stabilization was attained by<br />

exposing the DFR to UV-light through the transparent<br />

PMMA lid plate after bonding.<br />

Akin to this, we also used a PMMA lid substrate for the<br />

third bonding technique. Instead of DFR, a 10 µm SU-8<br />

layer was deposited on this lid plate. This adhesive layer<br />

was laid on the channel structure and bonded under certain<br />

pressure and temperature conditions. Again, exposure was<br />

carried out through the PMMA lid substrate after bonding.<br />

A related approach was attempted in [5], but using a predrilled<br />

lid substrate, which led to deposition and bonding<br />

problems as will be described below.<br />

III.<br />

RESULTS AND DISCUSSION<br />

A. Channel Layer Fabrication<br />

Both SU-8 and DFR were found to be suitable as channel<br />

layer materials as clearly defined channels with straight<br />

walls were fabricated. However, DFR allows aspect ratios<br />

up to approximately 2:1, whereas SU-8 can be used for any<br />

channel dimensions up to more than 10:1. Fig. 3 a) shows a<br />

confocal microscope picture of channels with widths<br />

between 1.34 µm and 12 µm and a height of 20 µm. Due to<br />

the weaker optical properties and surface quality of PMMA<br />

compared to glass, scattering of the exposure light was<br />

expected, which would lead to difficulties in producing high<br />

aspect ratios. However this problem held off and even<br />

channels with an aspect ratio higher than 10:1 were<br />

fabricated. Furthermore, the use of PMMA as substrate<br />

material is advantageous compared with the commonly used<br />

silicon or glass due to its coefficient of thermal expansion<br />

(CTE). As mentioned in [5], the CTEs of PMMA and SU-8<br />

(85 ppm/K and 52 ppm/K, respectively) are closer to each<br />

other than SU-8 and silicon (2 ppm/K) or borosilicate glass<br />

(3,25 ppm/K). Similar thermal expansion coefficients<br />

prevent distortion of resist during the baking steps.<br />

Moreover, no flaking of resist from PMMA or from the<br />

adhesive resist layer was visible, not even near the drilled<br />

holes (Fig. 3 b)). Thus, good adhesion of the layers was<br />

provided, although there were no elaborate cleaning steps<br />

necessary prior to deposition but only flushing of the<br />

PMMA plate with IPA and nitrogen.<br />

B. Fluidic Interfaces<br />

Mechanical drilling of the fluidic inlets and outlets<br />

proofed of value as a very simple and efficient technique.<br />

By means of the protective foil, absolutely no damaging of<br />

the channel layer occurred and the foil was removed<br />

without difficulties. The drilled holes were well defined<br />

without chipping and no cracks or other damages were<br />

induced (see Fig. 3 b)).<br />

In Ref. [5], fluidic inlets and outlets were drilled into the<br />

PMMA lid plate instead of the PMMA substrate which<br />

contains the channel structures. SU-8 was not suitable as an<br />

b)<br />

Fig. 3. a) Confocal microscope image of structured SU-8 on PMMA<br />

(height: 20 µm). The black line marks the position of the profile shown<br />

below. b) Confocal microscope image of a channel with a fluidic inlet<br />

drilled into PMMA.<br />

adhesive layer as it formed cords because of the holes.<br />

Thus, DFR was deposited on top of this pre-drilled PMMA<br />

lid to serve as the adhesive bonding layer. When the release<br />

liner of the DFR was removed, most inlets and outlets were<br />

open as the DFR layer could not stick to a surface in these<br />

areas. In doing so, some pieces of DFR fell onto the<br />

deposited adhesive layer where they formed artifacts and<br />

led to bond defects. This problem, that also involves low<br />

yield and reliability, is completely evaded using the new<br />

fabrication process.<br />

C. Closing of Channels<br />

Comparing the adhesion bonding techniques, all three of<br />

them turned out to be successful methods for closing of<br />

channels. On account of exposing the resist after bonding,<br />

good adhesion and bond strengths were assessed. Yet each<br />

275


one of the bonding methods is best adequate for different<br />

ranges of channel dimensions.<br />

Laminating a DFR layer on top of the channel layer was<br />

the most comfortable way of closing since it is the simplest.<br />

As shown in Fig. 4 a), channels were tightly sealed by this<br />

method at an optimum lamination temperature of 75 °C [6].<br />

However, for channels exceeding widths of 250 µm, the lid<br />

DFR layer sagged into the broad chambers and stuck to the<br />

bottom (Fig. 4b)). Therefore, this bonding method is limited<br />

to smaller channel structures. Though, for channels smaller<br />

than 20 µm, unbonded spots occurred at the channel edges.<br />

With respect to biomedical applications, it is desirable for<br />

the biological fluid to be in contact with as few materials as<br />

possible in order to avoid interaction of biological<br />

substances with other materials. On this score, combination<br />

of DFR as the channel layer with lamination of DFR as a lid<br />

forms the simplest way of fabricating a complete<br />

microfluidic system in which the biological fluid is in<br />

contact only with DFR and no other material. Still, as<br />

described above, this proceeding is best applicable for<br />

moderate channel dimensions between 20 µm and 250 µm.<br />

Based on the second bonding approach, the application<br />

range was extended to smaller and larger channel<br />

dimensions. As DFR was laminated onto a PMMA lid plate<br />

at first, sagging of DFR into broad channels or chambers<br />

was obviated entirely. This bonding technique did not<br />

involve any constraints for dimensions of the channels to be<br />

covered. However, if the whole system is supposed to<br />

consist of DFR, channel widths are restrained to aspect<br />

ratios lower than 2:1. Alternatively, smaller channels<br />

fabricated from SU-8 can also be sealed by DFR resulting in<br />

an equally stable bond. Although two materials (SU-8 and<br />

DFR) will be in direct contact with the biological<br />

substances, these materials are chemically very similar.<br />

Yet, when SU-8 is chosen as the channel layer, SU-8 can<br />

also be employed as adhesive bonding layer using the third<br />

bonding approach. This method also revealed stable and<br />

homogenous bonds for any channel dimensions. Fig. 5<br />

depicts a microfluidic system covered by this technique.<br />

Obviously, the channels are open and the bond is<br />

homogenous without any air entrapments or other defects.<br />

Rhodamine was used as a test liquid for checking the leaktightness<br />

of the systems. No bonding defects could be<br />

observed as the liquid filled the channels completely but did<br />

not flow between the resist layers. Admittedly, this process<br />

turned out more sensitive against process parameter<br />

deviations than DFR bonding. For example, marginally<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

exceeding the optimal temperature for bonding (69 °C)<br />

about 1-2 °C already led to flowing of resist into the<br />

channels, which resulted in clogging. At slightly lower<br />

temperatures, bond defects were found occasionally,<br />

especially in regions of small bonding areas. Totaling, this<br />

fabrication technique is suitable for any channel designs<br />

when process parameters are set accurately.<br />

Generally, this bonding method can be accomplished<br />

with any adhesive material. In comparison with the<br />

technique of Ref. [5], for which only dry film is applicable,<br />

the new fabrication process turns out more flexible with<br />

regard to material choice and combinations. For this reason,<br />

it is adaptive to a broader range of applications.<br />

IV. CONCLUSION<br />

All things considered, the presented manufacturing<br />

options base upon a combination of the polymer materials<br />

PMMA, SU-8 and DFR. The fabrication methods stand out<br />

due to inexpensive materials and manufacturing techniques<br />

compared to conventional silicon and glass assemblies.<br />

Besides the low costs, an eminent benefit is also given by<br />

the transparency of the materials as observability of<br />

processes is a crucial requirement for many biomedical<br />

applications.<br />

Although polymers have poor temperature stability, this<br />

fact is not of disadvantage in the biological field where low<br />

temperature processes are needed to prevent denaturing or<br />

alike damages of biological substances. In comparison with<br />

PDMS systems, the presented techniques are suitable for a<br />

wider range of applications as they allow the fabrication of<br />

smaller channels with higher aspect ratios.<br />

The bonding techniques could also be adapted for CMOScompatible<br />

encapsulation of micromechanical devices such<br />

as switches. For these applications, high temperature<br />

bonding techniques like anodic bonding are often<br />

inappropriate as they can cause thermal distortion<br />

discharging of structural elements.<br />

Summing up we highlighted complete fabrication<br />

techniques for microfluidic systems suitable for a large<br />

variety of biomedical applications. A great advantage is<br />

given by implementing the fluidic interfaces simply by<br />

CNC-assisted mechanical drilling. Very high aspect ratios<br />

(>10:1) were achieved and three different adhesion bonding<br />

techniques for closing of the channels were applied and<br />

compared. These bonding methods cover all dimension<br />

ranges of channels or chambers to be sealed.<br />

a) b)<br />

Fig. 4. Channels on PMMA closed by lamination of DFR. a) Cross-section<br />

of a 220 µm wide channel [6], b) Top view of a 1000 µm wide channel.<br />

Fig. 5. SU-8-channel on PMMA closed by bonding to a SU-8-PMMA lid.<br />

For testing the leak-tightness of channel, rhodamine was flown through.<br />

276


REFERENCES<br />

[1] G. Mehta, J. Lee, W. Cha, Y.-C. Tung, J.J. Linderman and S.<br />

Takayama, “Hard top soft bottom microfluidic devices for cell<br />

culture and chemical analysis”, Analytical Chemistry, 81(10),<br />

2009, 3714-3722.<br />

[2] H. Lee, E. Sun, D. Ham and R. Weissleder, “Chip-NMR biosensor<br />

for detection and molecular analysis of cells”, Nature Medicine,<br />

14(8), 2008.<br />

[3] H. Sugino, K. Ozaki, Y. Shirasaki, T. Arakawa, S. Shoji and T.<br />

Funatsu, “On-chip microfluidic sorting with fluorescence spectrum<br />

detection and multiway separation”, Lab on a Chip, 9, 2009, 1254-<br />

1260.<br />

[4] C.-Y. Lee, G.-B. Lee, J.-L. Lin, F.-C. Huang and C.-S. Liao,<br />

“Integrated microfluidic systems for cell lysis, mixing/pumping<br />

and DNA amplification”, Journal of Micromechanics and<br />

Microengineering, 15, 2005, 1215-1223.<br />

[5] A. Krebs, T. Knoll, D. Nußbaum and T. Velten, “Fabrication of<br />

enclosed SU-8 microchannels for cell handling applications”, Proc.<br />

10th Int. Conf. on Management of Innovative Technologies, Fiesa,<br />

Slovenia, 2009.<br />

[6] D. Nußbaum, D. Herrmann, T. Knoll and T. Velten, “Micromixing<br />

Structures for Lab-on Chip Applications: Fabrication and<br />

Simulation of 90° Zigzag Microchannels in Dry Film Resist”,<br />

Proc. 4M/ICOMM Conference, Karlsruhe, Germany, 2009.<br />

[7] G. Voskerician, M. S. Shive, R. S. Shawgo, H. von Recum, J. M.<br />

Anderson, M. J. Cima and R. Langer, “Biocompatibility and<br />

biofouling of MEMS drug delivery devices”, Biomaterials, 24,<br />

2003, 1959-1967.<br />

[8] G. Kotzar, M. Freas, P. Abel, A. Fleischman, S. Roy, C. Zorman, J.<br />

M. Moran and J. Melzak, “Evaluation of MEMS materials of<br />

construction for implantable medical devices”, Biomaterials, 23,<br />

2002, 2737-2750.<br />

[9] A. Altuna, G. Gabriel, L. M. de la Prida, M. Tijero, A. Guimerá, J.<br />

Berganzo, R. Salido, R. Villa and L. J. Fernández, “SU-8-based<br />

microneedles for in vitro neural applications”, Journal of<br />

Micromechanics and Microengineering, 20, 2010, 064014 (6pp).<br />

[10] L. Bogunovic, D. Anselmetti and J. Regtmeier, “Photolithographic<br />

fabrication of arbitrarily shaped SU-8 microparticles without<br />

sacrificial release layers”, Journal of Micromechanics and<br />

Microengineering, 21, 2011, 027003 (5pp).<br />

[11] F.J. Blanco, M. Agirregabiria, J. Garcia, J. Berganzo, M. Tijero,<br />

M.T. Arroyo, J.M. Ruano, I. Aramburu and K. Mayora, “Novel<br />

three-dimensional embedded SU-8 microchannels fabricated using<br />

a low temperature full wafer adhesive bonding”, Journal of<br />

Micromechanics and Microengineering, 14(7), 2004, 1047-1056.<br />

[12] S. Tuomikoski and S. Franssila, “Free-standing SU-8 microfluidic<br />

chips by adhesive bonding and release etching”, Sensors and<br />

Actuators A, 120, 2005, 408-415.<br />

[13] P. Vulto, N. Glade, L. Altomare, J. Bablet, L. Del Tin, G. Medoro,<br />

I. Chartier, N. Manaresi, M. Tartagni and R. Guerrierri,<br />

“Microfluidic channel fabrication in dry film resist for production<br />

and prototyping of hybrid chips”, Lab on a Chip, 5, 2005, 158-162.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

277


11-13 May, Aix-en-Provence, France<br />

<br />

Hot embossing of biodegradable polymers<br />

Matthias WORGULL, Alexander KOLEW, Heilig MARKUS, Marc SCHNEIDER, Heinz DINGLREITER<br />

Karlsruher Institute of Technology, Eggenstein-Leopoldshafen, Germany<br />

Text unavailable at the time of printing.<br />

278


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

SU-8-based rapid tooling for thermal roll<br />

embossing<br />

Khaled Metwally, Laurent Robert, Roland Salut and Chantal Khan Malek<br />

FEMTO-ST Institute - UMR CNRS 6174 / Dpt. MN2S<br />

32 Avenue de l’Observatoire, 25044 Besançon cedex, France<br />

Abstract- Rapid, flexible and low-cost tooling is particularly<br />

required in replication processes especially with small and<br />

medium volume as in research labs or startups. Epoxy stamps<br />

have been used in hot embossing and injection moulding since<br />

a few years. In this work, SU-8 epoxy–based patterns were<br />

generated on silicon wafers, which were employed as stamps<br />

in hot roll embossing of COC and PMMA foils using a<br />

commercial laminator. This method combines the accuracy of<br />

lithographic patterning of SU-8 resist with the mass<br />

production capability of roll embossing. The stamp fabrication<br />

process can be performed in less than a few hours using<br />

photolithography for tens to hundreds micrometer features<br />

and electron beam lithography for the sub-micronic range.<br />

I. INTRODUCTION<br />

Emerging non-silicon manufacturing technologies<br />

involve large sheets of materials and continuous processes.<br />

This is particularly true for low-cost disposable devices<br />

based on polymers, such as microfluidic systems. One of<br />

the manufacturing options for fabrication of such devices is<br />

using roll-based processes, where rollers are used to apply<br />

heat and pressure between stamp and substrate, while<br />

rotating with certain angular velocity to achieve continuous<br />

or semi-continuous embossing/ imprinting. Roll embossing<br />

becomes a viable fabrication technology as it provides<br />

advantages such as increased patterning speed and large<br />

area processability [1-2].<br />

Two possible configurations are available in roll-based<br />

embossing set-ups [3], roll-on-flat where structures are<br />

patterned on a planar surface used as a stamping tool and<br />

roll-to-roll where features are either directly patterned on<br />

the roller or on a foil which will be wrapped around one of<br />

the rollers to form an exchangeable tool on the embossing<br />

cylinder.<br />

Another upcoming trend concerns developing solutions<br />

based on thin films or foils. The manufacture of lab-on-chip<br />

based on thin film technologies (lab-on-a-foil) [4-5] opens<br />

the door for different applications, particularly those<br />

requiring better heat transfer efficiency, mechanical<br />

flexibility and lower material consumption.<br />

The usage of clean room technologies allows<br />

manufacturing of highly accurate silicon-based stamps that<br />

can be used in hot embossing either planar [6] or roll-based<br />

[7]. The resultant replicas are almost perfect as stamps.<br />

However, due to the different thermal expansion<br />

coefficients of tool and substrate induced thermal stresses<br />

replication errors might occur. As typical values for the<br />

thermal expansion coefficient of polymers are in between<br />

50 and 90 ppm K -1 , while silicon masters have a thermal<br />

expansion coefficient of about 2.6 ppm K -1 .<br />

Use of epoxy-based stamps with thermal expansion<br />

coefficient values much closer to those of the polymer<br />

substrates will overcome these problems. As master and<br />

substrate will shrink at a similar rate and result in less stress<br />

during the cooling phase of a silicon /substrate stack.<br />

Usually, epoxy-based stamps are fabricated by casting for<br />

either one or two-component epoxies. Such stamps can be<br />

used in planar hot embossing or injection moulding [8-10].<br />

They can be also extended for roll embossing as reported by<br />

Velten et al., where a one-component thermo-curable resin<br />

(Hysol 9509) was double casted, firstly from a UVpatterned<br />

SU-8 resist template to a silicone mould then<br />

followed by second casting from the silicone mould to an<br />

epoxy master [11]. This epoxy grade was chosen as it<br />

provided high adherence to metals subjected to high-service<br />

temperatures.<br />

SU-8 is an epoxy-based negative tone photosensitive<br />

resist optimized for ultraviolet photolithography and it is the<br />

most popular resist in microsystem technologies. It is also<br />

known to be highly sensitive to electron beam lithography<br />

(EBL). In particular, patterns down to 250 nm in 150 nm<br />

thick SU-8 were written with 50 keV electron beam<br />

lithography (EBL), at a dose less than 2µC/cm 2 [12]. More<br />

recently, Bilenberg et al. reported line widths down to 24<br />

nm with a pitch of 300 nm in a 99 nm thick SU-8 layer<br />

patterned by 100 keV EBL [13]. SU-8 also allows hybrid<br />

patterning, using a combination of photolithography for<br />

larger feature sizes and EBL for finer ones [14].<br />

SU-8 has been used as a stamp for direct imprinting for<br />

micro-features [15], and for sub-micronic hill-like features<br />

down to 650 nm in thermoplastic polymer [16]. In addition,<br />

SU-8 has a thermal expansion coefficient of 52 ppm K -1 ,<br />

which can minimize thermally induced stresses in the<br />

embossed material as well as replication errors [17].<br />

In this work, multi-scale SU-8–based patterns from<br />

micronic down to sub-micronic were generated and<br />

employed as stamps in hot roll embossing of COC and<br />

PMMA foils using a commercial laminator. Roll-on-flat<br />

configuration with processing parameters such as roller<br />

temperature, applied pressure, roller speed and number of<br />

passes has been investigated.<br />

279


II.<br />

EXPERIMENTAL WORK<br />

A. Micronic SU-8 mould manufacturing<br />

A layer of 70 µm thick SU-8 2075 (MicroChem Corp.)<br />

negative photoresist was spin-coated either on a silicon<br />

wafer or on an aluminum layer sputtered on a silicon wafer,<br />

then soft-baked on a hotplate at 95 °C for 7 min.<br />

Microstructures were formed by UV contact photolithography<br />

using an EVG620 mask aligner (SUSS<br />

MicroTec Corp, Germany) with a power 300 mJ/cm 2 . After<br />

exposure the SU-8 was post-exposure baked (PEB) at 95 °C<br />

for 4 min on a hotplate and subsequently developed for 10<br />

min in the SU-8 developer, that is propylene glycol<br />

monomethyl ether acetate (PGMEA), rinsed in ethanol for 1<br />

min, and dried in nitrogen gas.<br />

B. Submicronic SU-8 mould manufacturing<br />

Submicronic ridges of nominal lateral dimensions ranging<br />

from 300 nm to 5µm, with a fixed pitch of 5 µm were<br />

generated in thinned SU-8 NANO TM SU-8 2002 resist<br />

(MicroChem Corp.) diluted (2:3) with NANO TM SU-8<br />

thinner (cyclopentanone, MicroChem Corp.) to achieve a 1<br />

µm thick film resist. Before the spin-coating of the thinned<br />

SU-8 on silicon wafers, an adhesive promoter (Omnicoat TM ,<br />

MicroChem Corp.) was dispensed, followed by a 100 rpm/s<br />

ramped spread cycle to 500 rpm, and a 300 rpm/s ramp to<br />

reach a final 2000 rpm in 30 s long spin cycle. The<br />

Omnicoat TM was baked for 1 min at 200 °C, followed by<br />

dispensation of thinned SU-8 at a 1000 rpm/s ramped cycle<br />

to reach a final 3000 rpm in 30 s long spin cycle.<br />

After spinning of resist on silicon substrate, the SU-8 was<br />

pre-baked at 95 °C for 40 s on a hotplate, prior to exposure<br />

by 30 keV electron beam lithography. Exposures were<br />

carried out using a Raith E-line pattern generator with doses<br />

ranging from 1 µC/cm 2 to 4 µC/cm 2 with an increment step<br />

of 0.2 µC/cm². The exposed SU-8 was post-baked at 95 °C<br />

for 2 min on a hotplate and subsequently developed in<br />

PGMEA for 10 min, rinsed in ethanol for 1 min, and dried<br />

in nitrogen gas.<br />

Reactive ion etching (RIE) under oxygen plasma with<br />

process parameters of 20 sccm/ 20 µbar/ 50 watt/ 90 sec<br />

was used to remove the Omnicoat TM layer and SU-8<br />

residues caused by proximity effect in EBL. The RIE step<br />

was performed at an etching rate of 60 nm/min.<br />

Finally, stamps with both micronic and submicronic SU-8<br />

structures were ramp hard baked at 200 °C on a hot plate for<br />

1 hr to further cross-link the patterned resist and increase its<br />

adherence to the silicon substrate.<br />

C. Roll embossing process<br />

Roll embossing was conducted using a Rohm & Haas<br />

350HR laminator. It consists of two rollers with metallic<br />

cylinders covered with a rubbery material. The rotating<br />

motor is attached to the lower roller, the speed of rotation<br />

being an adjustable parameter. The upper roller has a degree<br />

of freedom in vertical translation allowing two positions,<br />

separation or contact (with the lower roller). The contact<br />

pressure is adjustable via a relief valve pressurized by air<br />

supply. The upper roller is rotated by transmission during<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

contact with the lower roller. The roller operates within a<br />

temperature range from room temperature to 180 °C.<br />

Software limit of 166 °C was set for the temperature<br />

controllers to avoid heater damage.<br />

Thin films of two different thermoplastic materials were<br />

fed as flexible polymer substrates, cyclic-olefin-copolymer<br />

(COC) (Topas 8007 from Ticona GmbH) and poly-methylmethacrylate<br />

(PMMA) (Goodfellow) of respective nominal<br />

thickness of 130 and 125 µm. Their glass transition<br />

temperature (Tg) is equal to 78 and 105 °C, respectively.<br />

A structured SU-8/Si was used as a stamping tool. It was<br />

placed with its features upwards on a supporting metallic<br />

plate. The polymer/SU-8 stamp assembly was then forced to<br />

pass between both embossing rollers under given embossing<br />

pressure, roller temperatures, and rolling speed.<br />

A multiple-pass process of the polymer foil/SU-8 stamp<br />

assembly through the roller offers several advantages<br />

compared with the single pass process as it allows<br />

embossing at lower temperature, hence decreasing the<br />

thermally induced stress in the polymer film during the<br />

embossing process. However at lower temperature, the<br />

polymer requires more holding time to fill the microcavities<br />

on the stamp. Moreover, the polymer viscoelastic behaviour<br />

resists forming of polymer and exhibits time dependent<br />

strain. Each pass results in not only preheating for next pass<br />

but also in improving the transferred depth in the polymer<br />

foil as the applied pressure and holding time are repeated.<br />

The first pass is a critical pass as it is used to mark the first<br />

imprint on the polymer substrate that will guide the stamp<br />

features during the following passes of embossing, which<br />

avoid the occurrence of predicted misalignment between<br />

different passes. Moreover, multiple passes could be applied<br />

in multi-roller embossing system that will allow full control<br />

of thermal cycle without affecting the production rate.<br />

Several experiments were performed to optimize the<br />

filling of microcavities of the stamp. Pattern transfer in<br />

polymer foils was investigated as a function of roller<br />

temperature, applied pressure, feeding rate, and number of<br />

passes. Both mould and replicas were characterized using<br />

optical microscopy (Leica), profilometry (Alpha-Step 200)<br />

and scanning electron microscopy (SEM) (Leica S-440).<br />

III.<br />

RESULTS AND DISCUSSION<br />

A. SU-8 mould for micronic features and its replicas<br />

Microfeatures of 100 µm width and 80 µm depth were<br />

successfully manufactured in SU-8 with straight sidewalls<br />

as shown in Fig.1 and its inset, on both the silicon wafer and<br />

the sputtered aluminum (Al) layer over silicon wafer. For<br />

the second one, it was planned to check the durability of<br />

SU-8 adherence with Al in order to extend the work to a<br />

roll-to-roll configuration with an aluminum foil wrapped<br />

around the roller.<br />

Aluminum metal was chosen according to a previous<br />

study of Nordström group which compared the bond<br />

strength between SU-8 and four different materials by pulltest<br />

experiments [18], without any adhesion promoter<br />

between the SU-8 and the respective material.<br />

The bond between SU-8 and gold (Au) was the weakest,<br />

280


followed by titanium (Ti) which showed a slight increase in<br />

the bond strength with SU-8. The bond of SU-8 to Al was<br />

even stronger, with a value of 12.1 (±2.8) MPa. The<br />

measured bond strength between SU-8 and Si was 18.5(±<br />

4.6) MPa. However, after less than five replicas the SU-8<br />

was delaminated from the aluminum.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

The PMMA replica shown in Fig.3 was produced in six<br />

passes at a temperature of 166 °C for both upper and lower<br />

rollers while applying a pressure of 6 bars and a feed rate<br />

0.1 m/min. In this particular case, only the number of passes<br />

varies in the optimization process as the other parameters<br />

were physically or software limited.<br />

Fig. 1. SU-8/Si mould with micro-features of 100 µm wide and 80 µm height.<br />

Inset: Magnification view of the ridge section 250X<br />

This low number of replication is not acceptable for a roll<br />

embossing process and SU-8/Al is not durable to be used as<br />

stamp. Delamination is explained by reaching a relatively<br />

high PEB temperature (95 °C, 2 min) without ramping on<br />

two different materials with thermal expansion coefficient<br />

mismatch.<br />

On the another side the SU-8/Si stamp has been used in<br />

roll-to-flat configuration and microfeatures of 100 µm width<br />

and 80 µm depth were successfully replicated in Topas<br />

8007 COC and PMMA. The COC replica shown in Fig.2<br />

was produced in a two-pass process at a temperature of 110<br />

and 145 °C for upper and lower roll respectively, using a<br />

pressure of 6 bars and a feed rate of 0.3 m/min. These<br />

processing parameters show an increase in embossing<br />

temperature and reduction in speed compared with the<br />

parameters used with the silicon moulds [7]. This increase<br />

was expected as the thermal conductivity of SU-8 is less<br />

than that of silicon (on the order of 0.3 vs. 149 W/m.°K,<br />

respectively).<br />

Fig. 3. PMMA replica embossed from SU-8/Si mould with micro-features<br />

of 100 µm wide and 80 µm deep. Inset: Magnification view of the channel<br />

section 250X<br />

B. SU-8 e-beam dose evaluation and patterning of submicronic<br />

features<br />

The e-beam exposure dose variation was used to measure<br />

the resist height versus dose characteristic curve. The<br />

exposure dose was obtained by measuring the current using<br />

a Faraday cage. The remaining SU-8 resist thickness was<br />

measured by a profilometry at the 5µm features after<br />

development and PEB. Fig. 4 shows the remaining SU-8<br />

resist thickness versus exposure.<br />

Normally, SU-8 contrast and sensitivity are determined<br />

for a resist thickness which is half the initial film thickness<br />

(D H=0.5 , which also depends on PEB temperature and<br />

development conditions).<br />

The optimum dose depends on pattern size and period so<br />

that doses were selected for each feature size after SEM<br />

observation to avoid proximity effect and to maintain a<br />

given profile.<br />

1400<br />

Remaining resist thickness vs dose<br />

1200<br />

Remaining resist thickness (nm)<br />

1000<br />

800<br />

600<br />

400<br />

200<br />

Fig. 2. Topas COC 8007 replica embossed from SU-8/Si mould with microfeatures<br />

of 100 µm wide and 80 µm deep. Inset: Magnification view of the<br />

channel section 250X<br />

0<br />

0 0.5 1 1.5 2 2.5 3 3.5 4<br />

Dose (µC/cm2)<br />

Fig. 4. Remaining SU-8 2002 resist thickness (after a 2 min PEB at 95 °C &<br />

10 min development in SU-8 developer) versus e-beam exposure dose at 30<br />

keV, measured by profilometry, for pads of nominal width 5 µm.<br />

281


Sub-micronic features with a final dimension of 400 nm<br />

wide, 570 nm height and 50 µm long were produced in SU-<br />

8 on silicon after exposure, development, PEB and hard<br />

bake as shown in Fig.5. For the 400 nm feature array,<br />

exposure dose of 4 µC/cm² was selected. However, with<br />

larger feature size less exposure dose is required.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

m/min as shown in Fig.7. Reduction of feed rate in PMMA<br />

case shows difference as it is inversely proportional to<br />

holding time of applied pressure and allows polymer filling.<br />

Also, its value directly affects the production rate. Good<br />

pattern transfer is obtained by changing only the number of<br />

passes compared with the micronic features replication.<br />

Fig.5 SU-8/Si mould with submicronic features of 400 nm wide and 570<br />

nm height. Inset: Magnification view of SU-8 feature cross-section 40KX<br />

First set of trials for roll embossing with 400 nm wide<br />

features was conducted in Topas 8007 COC. Embossed fine<br />

features of 400 nm width and 570 nm depth were produced<br />

in three passes at a temperature of 133–137 °C for upper<br />

and lower rolls, using a pressure of 4 bars and a feed rate of<br />

0.4 m/min as shown in Fig.6. However, an incomplete<br />

filling problem at sharp edges appears (see inset of Fig.6).<br />

Fig.7. PMMA replica embossed from SU-8/Si mould with submicronic<br />

features of 400 nm wide and 570 nm deep. Inset: Magnification view of the<br />

channel section Mag. 40KX<br />

C. Mould defects after replication<br />

The SU-8/Si mould has been used for roll embossing in<br />

COC foils without facing any problem. However, after<br />

using the SU-8/Si mould to emboss in PMMA, a complete<br />

de-lamination at the 300 nm features and partial delamination<br />

at the 400 nm features were observed on the<br />

stamp as shown in Fig.8 and its inset.<br />

Fig.6. Topas COC 8007 replica embossed from SU-8/Si mould with<br />

submicronic-features of 400 nm wide and 570 nm deep. Inset:<br />

Magnification view of the channel section Mag. 40KX<br />

It was expected that by increasing the embossing<br />

temperature and pressure, as well as decreasing feed rate,<br />

this problem could be resolved. But comparing these results<br />

with another COC embossed replica produced in three<br />

passes at the higher temperature of 166 °C for both rollers<br />

and a higher pressure of 6 bars and a feed rate of 0.3 m/min,<br />

shows no big difference at the sharp edges.<br />

Submicronic features replicated in PMMA in four passes<br />

at a temperature of 166 °C for both upper and lower rollers<br />

while applying a pressure of 6 bars and a feed rate of 0.1<br />

Fig.8. SU-8/Si mould with submicron features of 400 nm wide and 570 nm<br />

height after embossing. Inset: Magnification view of feature cross-section<br />

Mag. 40KX<br />

This de-lamination could be explained by the relatively<br />

high adhesive forces between the master patterns in SU-8<br />

and the PMMA foil to be embossed. These adhesive forces<br />

could be reduced if an anti-sticking layer is deposited before<br />

starting the embossing process. This anti-sticking layer<br />

could be a deposited layer of Teflon-like octo-fluoro-butane<br />

(C 4 F 8 ) gas.<br />

Also, the adherence between patterned SU-8 resist and<br />

the silicon wafer could be increased by optimizing the<br />

282


exposure dose, post exposure bake temperature and time for<br />

each set of feature size. Indeed Hong et al. reported in their<br />

study of the significant fabrication parameters associated<br />

with the de-lamination of 100µm thick SU-8 film from a<br />

silicon wafer substrate and their effect using a neural<br />

network model. The SU-8 layer had been blank-exposed by<br />

photolithography and they showed that a higher exposure<br />

dose lowers the temperature at which de-lamination starts to<br />

occur, increasing de-lamination [19]. It is consistent with<br />

our observation that the smaller feature sizes on the SU-8<br />

stamp, that is 300 nm, which require a higher electron beam<br />

exposure dose, are also the first ones to be delaminated.<br />

With larger feature size obtained by photolithography, no<br />

delamination was visible on COC films, but it occurs with<br />

PMMA films.<br />

IV. CONCLUSION AND PERSPECTIVE<br />

The feasibility of using SU-8 epoxy stamps has been<br />

demonstrated, as well as a high-speed fabrication process of<br />

large area micronic and sub-micronic array of lines suitable<br />

for small and medium mass production.<br />

Micro-features of 100 µm width and 80 µm depth were<br />

successfully replicated in 130µm thick Topas 8007 COC<br />

foils in a two-pass process at a temperature of 110 and 145<br />

°C for upper and lower roll respectively, using a pressure of<br />

6 bars and a feed rate of 0.3 m/min. The replica was<br />

produced in 125µm thick PMMA foils in six passes at a<br />

temperature of 166 °C for both upper and lower rollers<br />

while applying a pressure of 6 bars and a feed rate 0.1<br />

m/min.<br />

Sub-micronic features of 400 nm width and 570 nm depth<br />

were produced in COC in three passes at a temperature of<br />

133–137 °C for upper and lower rolls, using a pressure of 4<br />

bars and a feed rate of 0.4 m/min, and in PMMA in four<br />

passes using the same parameters for the embossing process<br />

as those for embossing 100 µm wide features.<br />

The SU-8 stamp fabrication process was performed in<br />

less than a few hours using photolithography for tens to<br />

hundreds micrometer features and electron beam<br />

lithography for the sub-micronic range down to 300nm. It<br />

also gives the possibility of hybrid manufacturing of both<br />

micronic and submicronic features on the same stamp.<br />

The high resolution capability of SU-8 combined with the<br />

high production rate of roll embossing technique are highly<br />

promising for a wide range of applications.<br />

ACKNOWLEDGMENT<br />

This work was performed within the framework of the<br />

Carnot-Fraunhofer French-German project “3μP: Microfluidic<br />

platform for multiple samples with multiple analytics<br />

to run diagnostic analysis” and the French FUI CONPROMI<br />

project.<br />

REFERENCES<br />

[1] T. Velten, H. Schuck, W. Haberer, and F. Bauerfeld,<br />

“Investigations on reel-to-reel hot embossing”, Int. J. Adv. Manuf.<br />

Technol., vol.47, pp. 73–80, 2010.<br />

[2] L.P. Yeo, S.H. Ng, Z. F. Wang, H.M. Xia, Z. P. Wang, V.S.<br />

Thang, Z.W. Zhong, and N.F. de Rooij, “Investigation of hot roller<br />

embossing for microfluidic devices”, J. Micromech. Microeng. 20<br />

(1), pp.15017- 15026, 2010.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

[3] H. Ahn and L. J. Guo, “Large-area roll-to-roll and roll-to-plate<br />

nanoimprint lithography: a step toward high-throughput<br />

application of continuous nanoimprinting”, ACS Nano, Vol.3(8),<br />

pp. 2304-2310, 2010.<br />

[4] S. Miserere, J. Weber, B. De Lambert, JL. Viovy, L. Malaquin, “A<br />

toolbox for lamination-based fast prototyping of flexible<br />

monolithic COC chips”. Proc. 1st European conference on<br />

Microfluidics- Bologna 10-12 Dec. 2008.<br />

[5] M. Focke, D. Kosse, C. Müller, H. Reinecke, R. Zengerle and F.<br />

von Stetten, “Lab-on-a-foil: microfluidics on thin and flexible<br />

films”. Lab Chip, 10, pp 1365–1386, 2010.<br />

[6] H. Becker and U. Heim, “Hot embossing as a method for the<br />

fabrication of polymer high aspect ratio structures", Sensors and<br />

Actuators, 83, pp.130–135, 2000.<br />

[7] K. Metwally, S. Queste, L. Robert, R; Salut, C. Khan-Malek, “Hot<br />

roll embossing in thermoplastic foils using dry-etched silicon<br />

stamp and multiple passes", Microelectronic Engineering, in press<br />

2011.<br />

[8] T. Koerner, L. Brown, R. Xie, R. D. Oleschuk, “Epoxy resins as<br />

stamps for hot embossing of microstructures and microfluidic<br />

channels", Sensors and Actuators B 107, pp. 632–639, 2005.<br />

[9] J. Steigert, S. Haeberle, T. Brenner, C. Müller, C. P. Steinert, P.<br />

Koltay, N. Gottschlich, H. Reinecke, J. Rühe, R. Zengerle and J.<br />

Ducrée, “Rapid prototyping of microfluidic chips in COC", J.<br />

Micromech. Microeng. 17, pp.333–341, 2007.<br />

[10] M. Svoboda, W. Schrott, Z. Slouka, M. Pribyl, D. Snita, “Plastic<br />

microfluidic systems made by imprinting against an epoxy stamp",<br />

Microelectronic Engineering 87, pp.1527–1530, 2010.<br />

[11] T. Velten, F. Bauerfeld, H. Schuck, and T. Knoll , “Low cost<br />

master fabrication for roll-to-roll hot embossing based on eboxy<br />

resin”, 4M2010 Proc. 7th international conference on<br />

Multimaterial micro manufacture- Oyonnax, pp. 135–138, 2010.<br />

[12] A.L. Bogdanov, “Use of SU-8 Negative Photoresist for Optical<br />

Mask Manufacturing", Proc. SPIE -Advances in resist technology<br />

and processing XVII (Santa Clara CA), 3999, pp.1215-1225, 2000.<br />

[13] B. Bilenberg, S. Jacobsen, M.S. Schmidt, L.H.D. Skjolding, P. Shi,<br />

P. Bøggild, J.O. Tegenfeldt, A. Kristensen, “High resolution 100<br />

kV electron beam lithography in SU-8”, Microelectron. Eng. 83,<br />

pp.1609–1612, 2006.<br />

[14] M. Gersborg-Hansen, L.H. Thamdrup, A. Mironov, A. Kristensen,<br />

“Combined electron beam and UV lithography in SU-8”.<br />

Microelectronic Engineering 84, pp. 1058–1061, 2007.<br />

[15] J. Greener, W. Li, J. Ren, D. Voicu, V. Pakharenko, T. Tang and E.<br />

Kumacheva, “Rapid, cost-efficient fabrication of microfluidic<br />

reactors in thermoplastic polymers by combining photolithography<br />

and hot embossing”. Lab Chip, 10, pp 522–524, 2010.<br />

[16] J.K. Chen, F.H. Ko, C.H. Chan, C.F. Huang and F.C. Chang,<br />

“Using imprinting technology to fabricate three-dimensional<br />

devices from moulds of thermosetting polymer patterns”.<br />

Semicond. Sci. Technol. 21, pp.1213–1220, 2006.<br />

[17] M. B. Esch, S. Kapur, G. Irizarry and V. Genova, “Influence of<br />

master fabrication techniques on the characteristics of embossed<br />

microfluidic channels”. Lab Chip. 3, pp.123–127, 2003.<br />

[18] M. Nordström, A. Johansson, E. S. Nogueron, B. Clausen, M.<br />

Calleja , A. Boisen , “Investigation of the bond strength between<br />

the photo-sensitive polymer SU-8 and gold”. Microelectronic<br />

Engineering 78–79, pp.152–157, 2005.<br />

[19] S. J. Hong, S. Choi, Y. Choi, M. Allen, G.S. May,<br />

“Characterization of low-temperature SU-8 photoresist processing<br />

for MEMS applications”. IEEE conference and workshop<br />

ASMC’04, pp.404–408, 2004.<br />

<br />

283


!"##$<br />

#%&#'%&(%&#'%&(<br />

!)*+,-#. <br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

%/ %&01!2&%1<br />

0 #<br />

<br />

/#<br />

# <br />

/& <br />

3# <br />

4 / %<br />

# <br />

4 ##/<br />

&# <br />

# 4<br />

5+6/ # <br />

/<br />

3## 4 <br />

# # <br />

#3 3 4 5,6/ &<br />

3 # # #<br />

###<br />

# / <br />

## <br />

7# #3<br />

/<br />

#7 ##<br />

# # <br />

## 3#<br />

/%##<br />

####3<br />

# 3 # <br />

/<br />

%%/ 1&81 %.&191.:<br />

#<br />

#/<br />

# #<br />

# #<br />

/!#; ;#<br />

##4#<br />

# 4 # #<br />

/!# ;<br />

# /$#<br />

# #<br />

33#<br />

/<br />

&# 7 <br />

# #<br />

/#<br />

4 # 2<<br />

#7 /<br />

# 4 <br />

# # <br />

<br />

# / &# # <br />

# # <br />

+=> 3 /#<br />

## ##3 # <br />

3 3 #<br />

3# /&#<br />

# ##<br />

# 3<br />

# #<br />

# <br />

/8## <br />

# /<br />

? 3<br />

# 3 <br />

<br />

+=@ +==@####<br />

/ & 3 # # <br />

#<br />

# 3<br />

;<br />

/ ?+ # # <br />

5A6/<br />

284


#### <br />

##/&###<br />

3 # <br />

# # #/ ! <br />

# <br />

# #<br />

3## 3/<br />

3 #<br />

# 3 / @ <br />

# #7#<br />

# /3 <br />

##/ <br />

## <br />

### <br />

3 /<br />

*%"+,-,,+.*!, <br />

-,-,+//+/- <br />

,.,/.,*<br />

#3# <br />

# <br />

3<br />

#/!# <br />

## /<br />

&# #3 <br />

## #<br />

# /!#<br />

#3# #<br />

###<br />

# ###<br />

?/,/<br />

%%%/ 29&%1@1&0@9%&%1<br />

* <br />

? 4 <br />

4 # 3/<br />

%7## <br />

####<br />

##/&# <br />

# #;<br />

/<br />

%4 <br />

# <br />

# #<br />

# # / &#<br />

<br />

3 /2# <br />

# #// <br />

#3 <br />

/<br />

* % "+, - +. - / , +, <br />

0//+/*<br />

&# ##<br />

# 3 #<br />

# <br />

4#4 //7<br />

<br />

##7/!<br />

# # <br />

#* ,+,<br />

&# <br />

# # # <br />

## <br />

# ###/&##<br />

<br />

## #<br />

#/<br />

?/A## <br />

3& /3# <br />

3 /&#<br />

3# <br />

# /0 <br />

# <br />

# # <br />

#<br />

/<br />

285


*%. /+-,.,+*<br />

1* "0/1+.<br />

&# # # <br />

3 # 2 3 #<br />

2 .#3 #2 <br />

"B'2 C2 .32 '+(<br />

#3 # <br />

#<br />

/ &# <br />

## #<br />

<br />

#/<br />

&#<br />

=/A=/-D # # # 5E6/<br />

8# #<br />

# #3& /<br />

@ <br />

##3=,D##<br />

#/<br />

# 4# <br />

# #<br />

/ # # #<br />

# ## #<br />

# <br />

/<br />

! # <br />

###<br />

#### <br />

#/ &# <br />

# <br />

# 4<br />

4B+FB'A*G(F/<br />

<br />

<br />

<br />

&# ##<br />

/ &# #<br />

# # <br />

:H #@H G/<br />

& # <br />

+=@+==@##<br />

ED/<<br />

# +=D # <br />

5I6/ &# <br />

=/ED# /<br />

',(<br />

* 2,<br />

? # # <br />

/? #<br />

/ % # #3 <br />

# # 3 # <br />

7# /%#3<br />

<br />

##33/<br />

$# <br />

# // # <br />

@


*5%6,+/.,-,7-,<br />

,+.,1/21*<br />

%


Success in MEMS, "From DRIE Technology<br />

to Social Innovation"<br />

Susumu Kaminaga,<br />

Sumitomo Precision Products Co., Ltd<br />

I. INTRODUCTION<br />

Over the past 10 years MEMS devices have become more<br />

established in a number of commercial, high volume<br />

applications such as digital projectors, ink jet printers, and<br />

automotive motion sensors. The invention of the mobile<br />

phone has had an enormous effect on society in terms of<br />

interpersonal communications and working life. The demand<br />

for “smarter” consumer products (e.g. smart phones, handheld<br />

tablets, and gaming consoles) in the past 2-3 years has<br />

stimulated significant growth in manufacturing MEMS devices<br />

for mobile applications [1] .<br />

Meanwhile, more MEMS devices are emerging with the<br />

potential for beneficial applications in other areas such as life<br />

sciences and energy conservation. For example, Yole<br />

Développement forecasts, “microsystem technologies market<br />

for healthcare applications will grow from $1.2B in 2009 to<br />

$4.5B in 2015, representing over 1B units per year in 2015” [2] .<br />

II. DEEP REACTIVE ION ETCH<br />

Deep reactive ion etching (DRIE) is a key enabling process<br />

which has been adopted by the MEMS manufacturers for<br />

etching deep, high aspect ratio features into silicon, the most<br />

common material used for MEMS manufacturing. Feature<br />

sizes range from sub-micron to many hundreds of microns.<br />

SPP Process Technology Systems (SPTS) was the first<br />

equipment manufacturer to commercialise DRIE, also named<br />

the “Bosch Process”, over 15 years ago, when the MEMS<br />

industry was in its infancy.<br />

Fig 1 – Schematic diagram illustrating the process steps of the DRIE<br />

process<br />

III. EMERGING MEMS APPLICATIONS<br />

A. Wireless networking<br />

A Wireless Sensor Network (WSN) consists of spatially<br />

distributed autonomous sensors to monitor physical or<br />

environmental conditions, such as temperature, sound,<br />

vibration, pressure, motion or pollutants, and to cooperatively<br />

pass their data through the network to a main location. Some<br />

networks are bi-directional, allowing the system to control the<br />

activity of the sensors. Although the development of wireless<br />

sensor networks was motivated by military applications such<br />

as battlefield surveillance; today such networks are used in<br />

many industrial and consumer application, such as industrial<br />

process monitoring and control, machine health monitoring,<br />

environment and habitat monitoring, home automation, and<br />

traffic control. MEMS sensors can be designed to measure a<br />

wide range of conditions at each node and are small, reliable<br />

and easily integrated into an electronic system. MEMS may<br />

also be used to scavenge energy to re-charge batteries which<br />

maintain power at the individual nodes.<br />

Fig 2 Multi-hopping Ad-hoc Wireless Network concept<br />

(Courtesy of Crossbow/SPP)<br />

288


B. Energy Applications<br />

Society is faced with many issues associated with energy<br />

use and generation. The semiconductor industry is working<br />

hard to find solutions such as photovoltaic/piezoelectric<br />

generation, ensuring power consumption of every device is<br />

minimised, and scavenging energy which would normally be<br />

wasted within a system.<br />

MEMS are used in a number of different ways to either<br />

replace traditional devices with higher energy consumption,<br />

generate power or harvest energy from the surroundings<br />

Micro heat engines for<br />

power generation &<br />

propulsion<br />

Piezo electric and<br />

electromagnetic power<br />

generators<br />

Thermoelectric and<br />

thermophotovoltaic systems<br />

Micro fuel cells and<br />

micro reactors for fuel<br />

processing and power<br />

generation<br />

Micro coolers and other<br />

thermal management<br />

technologies<br />

Energy scavenging for<br />

embedded microsystems<br />

Fig 3 Some examples of applications for MEMS in energy generation,<br />

conservation and scavenging.<br />

A micro fuel cell is a portable power source for low power<br />

electronic devices that converts chemical energy into useable<br />

electrical energy, like a battery. It generates power through the<br />

electrochemical reaction of a fuel in the presence of a catalyst.<br />

Hydrocarbon based fuels have very high energy densities<br />

compared to batteries, and should in theory offer improved<br />

performance.<br />

devices can easily be retrofitted or placed in inaccessible<br />

places.<br />

C. Life Sciences<br />

As society’s average lifespan increases, the market for life<br />

science products is ever-increasing. In 2010, the medical<br />

segment was expected to represent almost 10 percent of the<br />

$29.7 billion global industrial semiconductor market, or $2.9<br />

billion. Medical electronics is the fastest growing segment in<br />

the industrial semiconductor market, with an average growth<br />

rate of 10 percent per year [3] .<br />

MEMS devices are being developed to improve medical<br />

monitoring, diagnosis and patient care. While stringent safety<br />

testing can lengthen time-to-market, this emerging market is<br />

forecast to become a significant contribution to revenue for<br />

MEMS companies over the coming years.<br />

Many researchers are investigating a variety of methods to<br />

fabricate microneedles and actuator/dosing units for drug<br />

delivery applications. The advantages of transdermal (across<br />

skin) drug delivery include the absence of degradation in the<br />

gastrointestinal tract and liver associated with oral delivery,<br />

and the elimination of pain and inconvenience of an<br />

intravenous injection. The small size of the microneedles<br />

means that the outer epidermis layer of the skin can be<br />

penetrated and the drug delivered without reaching the nerves<br />

situated deeper in the dermis layer. This method of drug<br />

delivery could also reduce the amount of biohazardous waste.<br />

Microneedles may also be used in taking samples from the<br />

body for disease detection or monitoring levels of substances<br />

such as glucose. Implantable devices, controlled by wireless<br />

communication, are also being developed which can offer long<br />

term drug delivery.<br />

Fig 4 Fuel cell flow field formed in silicon substrate using SPTS DRIE<br />

(Courtesy of Lawrence Livermore National Laboratory)<br />

Energy harvesting is not a single technology but a broad<br />

spectrum that can be classified by the type of energy used, e.g.<br />

temperature differences, light radiation, electromagnetic fields,<br />

and kinetic energy.<br />

MEMS can be used to harvest the energy from equipment<br />

vibrations which would otherwise be wasted. This<br />

“scavenged” kinetic energy can be transferred into electrical<br />

energy, stored and used to power small wireless devices, for<br />

applications such as monitoring the equipment’s own structural<br />

health and performance. The advantages of these “selfpowered”<br />

systems are lower running costs and, by eliminating<br />

the need for cabling or replacing batteries, the monitoring<br />

Fig5 Array of micro-needles fabricated by QinetiQ, using a combination<br />

of isotropic and anisotropic etching in SPTS DRIE system, plus wet etching<br />

and electrochemical anodization.<br />

In general, the driving factors which promote the use of<br />

micro and nano-scale diagnosis technologies are:<br />

Improved Ease of Use<br />

Smaller, portable system<br />

Improved Performance<br />

Reducing the sensor element to the scale of<br />

the target species provides a higher sensitivity to a<br />

single entity/molecule.<br />

Reduce Costs<br />

Reduced reagent volumes<br />

Reduced time to result due to small volumes<br />

289


Point-of-care diagnostic<br />

Multi-agent detection capability<br />

In the future there is the potential for use in-vitro and invivo<br />

sensors. In vitro types include the analysis of samples<br />

extracted from the body for determining electrochemistry,<br />

blood pressure and temperature, blood glucose, genetics,<br />

immunology, and toxicology. In vivo sensors measure<br />

biological information inside the body and include catheterbased<br />

biosensor arrays, internal imaging systems, online blood<br />

assays, and neural recording arrays.<br />

[2] http://www.imicronews.com/upload/Rapports/Yole_BioMEMS_Report_Oc<br />

tober_2010_flyer_Web.pdf<br />

[3] Databeans 2010 Medical Semiconductors Report<br />

[4] J.Fernando Alfaro et al, Proc IEEE Engineering in<br />

Medicine & Biology 27 th Conf, 2005<br />

Fig 6 Silicon MEMS sensor to measure biomechanical stresses<br />

(Courtesy of Carnegie Mellon University)<br />

Fig 6 shows an implantable CMOS MEMS sensor<br />

fabricated by researchers at Carnegie Mellon University, using<br />

SPTS’ DRIE processing [4] . Such a sensor could be used to<br />

measure biomechanical stresses in situ, monitoring the strength<br />

of regenerating bone or the interfaces between bone and<br />

prosthetic implants.<br />

IV. CONCLUSIONS<br />

Many MEMS devices like air-bag sensors and ink jet heads<br />

now well-established and are being manufactured in high<br />

volumes. DRIE is a key enabling process for manufacturing<br />

most silicon-based MEMS. Over the past 15 years DRIE<br />

process capability has been continuously improved and<br />

developed, to meet the needs of MEMS manufacturers.<br />

MEMS devices are now finding new, emerging<br />

applications which promise to improve our lives in many other<br />

ways such as environmental monitoring and control, energy<br />

conservation and harvesting, life sciences and security.<br />

V. ACKNOWLEDGEMENTS<br />

The author would like to thank Carolyn Short, Evelyn Tay<br />

and David Butler of SPP Process Technology Systems (SPTS)<br />

for their assistance in the writing of this paper.<br />

REFERENCES<br />

[1] http://www.isuppli.com/MEMS-and-<br />

Sensors/MarketWatch/Pages/New-Consumer-and-Mobile-<br />

MEMS-to-Post-Spectacular-157-Percent-Growth-in-2011.aspx<br />

290


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Brightness Enhancement of OLEDs by Using<br />

Microlens Array Film with Silicon Oil and Ag<br />

Particles<br />

Shan-Shan Hsu 1 , Tung-Yu Chang 1 , Hsiharng Yang 1 , Jen-Sung Hsu 2<br />

1 Institute of Precision Engineering, National Chung Hsing University, Taichung, Taiwan 402<br />

2 Chemical Systems Research Division, Chung-Shan Institute of Science & Technology, Tao-Yuan, Taiwan 325<br />

Abstract- This paper introduces a new method to<br />

improve the external quantum efficiency of organic<br />

light emitted diode (OLED) by adding Ag particle into<br />

the silicon oil layer in OLED. By measuring the<br />

brightness of the front luminance, when the lens is 11μm<br />

in height and 30μm in diameter, the brightnes is<br />

increased 45% by adding the silicon oil between OLED<br />

module and brightness enhancement film, and the<br />

brightness could be further improved to 61% by adding<br />

the Ag particle into the silicon oil. In this work, the<br />

optical waveguide was disturbed by adding Ag particle<br />

into silicon oil and let more lights emit from the OLED<br />

component, it results in higher external quantum<br />

efficiency and lower energy consumption.<br />

I. INTRODUCTION<br />

Various light sources play important roles in recent<br />

displays. Especially for those consumers’electronic devices,<br />

low power consumption and light weight are required.<br />

Conventional CRT (cathode ray tube) television has been<br />

replaced by TFT LCD (thin film technology liquid crystal<br />

display). Obviously, backlighting modules using LEDs<br />

(light emitted diodes) have replaced CRT as the main stream.<br />

However, LED is a point light source, it requires a light<br />

guide plate and other optical films to achieve a lighting plane<br />

for the display. The plane lighting technology may need to<br />

develop for the displays. In 1987, Tang and VanSlyke using<br />

vacuum deposition method to produce the organic light<br />

emitted diode (OLED), the research of OLED grow up<br />

rapidly since that [1]. Due to OLED fit the requirement of<br />

energy saving, high uniformity, flatness, and large size<br />

capability, also it has the benefits such as simple<br />

manufacture process, self -illumination, short response time,<br />

no perspective limit, high contrast ,and low driving voltage,<br />

OLED draw a lot of attention for the next generation display<br />

device. The most important reason people interest in OLED<br />

is the capability of using flexible base plate. Therefore,<br />

OLED not only have the potential to be the illuminate<br />

component for next generation, it also become the major<br />

competitor of the flat display technique in the future [2-4].<br />

The external quantum efficiency is the ratio of the light<br />

generated by the illuminate component and the external light.<br />

The quantum efficiency is usually improved by disturbing<br />

the optical waveguide. Kwon et al., 2008 [5], successfully<br />

fabricates a high-sag microlens array film with a full fill<br />

factor by a simple micromachining process including trench<br />

formation and the conformal vapor phase deposition of a<br />

polymer. According to the fabrication design process, the<br />

lens diameter increased from 12 μm to 17.32 μm, the<br />

required initial radius of curvature of the reflowed<br />

photoresist pattern is 6 μm, and both the final radius<br />

curvature and the sag height after the conformal gap-filling<br />

proces are 8.66 μm. The normal brightnes of the ful white<br />

light emitted from the test panel was measured by PR-650<br />

spectra colorimeter, the result shows the luminance was<br />

increased by up to 48%.<br />

Wei et al., 2006 [6], study the influences of the edge<br />

length and the gap of the microlens array on the luminance<br />

efficiency on OLED. They use the photolithography and hot<br />

melt process to transform these base plates into the shape of<br />

the microlens array on substrate. The duplicated microlens<br />

array adhering to the PMMA film was formed after<br />

separating the mold from the film. The luminance efficiency<br />

of OLEDs has been found to increase linearly with the<br />

increasing area ratio of the microlens base area to the device<br />

area and microlens number density. The luminance<br />

efficiency measured by CS-100 spectra colorimeter was<br />

increase by up to 55%.<br />

Wei et al., 2006 [7], analyze the influences of the fill<br />

factor and the sag of hexagon-based microlens on the optical<br />

characteristics of OLED device. Compared to OLED, the<br />

luminous current and power efficiency of the device can be<br />

enhanced by 35% and 40%, respectively, by attaching a<br />

microlens array having a fill factor of 0.90 and a height ratio<br />

of 0.56. The result shows the efficiency increased as the lens<br />

size decreased and the height ratio increased.<br />

Möller and Forrest [8] demonstrate that ordered<br />

microlens arays with 10μm diameter siloxane lenses<br />

attached to glass substrates increase the light output of<br />

OLED by a factor of 1.5 over unlensed substrates. Peng et.<br />

al., 2004 [9], employed a simple soft-lithography approach<br />

to fabricate the microlens array on glass substrates. With the<br />

use of an optimized lens pattern, an increase of 70%<br />

efficiency in the coupling efficiency is achieved. Lee et al.,<br />

2003 [10], introduced a photonic crystal pattern into the<br />

glass substrate of an OLED. The finite-difference<br />

time-domain method was used to optimize the structural<br />

parameters of the photonic crystal pattern. With the use of an<br />

optimized photonic crystal pattern, an increase in the<br />

extraction efficiency of over 50% was achieved<br />

experimentally.<br />

294


Yamasaki et al., 2000 [11], consisting hexagonally<br />

closed-packed arrays of silica microspheres with the<br />

diameter of 550 nm, were incorporated into OLED with a<br />

conventional two-layer structure to enhance the external<br />

quantum efficiency. Tsutsui et al., 2000 [12], incorporated<br />

closed-packed arrays of silica microspheres with the<br />

diameter of 550 nm into organic light-emitting devices,<br />

increase the external quantum efficiency of 80%. Hobson et<br />

al. 2002 [13], apply wavy component surface cause surface<br />

plasmon resonance let light deliver out of the component.<br />

From these references, the external quantum efficiency of<br />

OLED could be increased by disturbing optical waveguide<br />

such as adding high packing ratio array lens brightness<br />

enhancement film. In this work, a new method of improve<br />

the external quantum efficiency of OLED by adding Ag<br />

particle into the silicon oil layer were applied.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

electron injection layer (EIL) Alq3 (700 Å), electron<br />

transport layer (ETL) LiF (12 Å), and Cathode Al (1500 Å).<br />

Pack under nitrogen atmosphere to finish green light OLED<br />

(Fig 3).<br />

II. EXPERIEMNTS<br />

The optical lithography of like-LIGA technique is used<br />

to manufacture the micro-scale array lens brightness<br />

enhancement film, combined with Ag particle adding silicon<br />

oil, form a low optical waveguide structure. The processes<br />

include optical lithography, the fabrication of OLED with<br />

Ag particle adding silicon oil, micro-structure measurement<br />

and optical brightness measurement.<br />

2.1 Device fabrication<br />

The upper and lower rows of apertures were arranged<br />

equidistantly. Round patterns laid out in an ortho-triangle on<br />

the PET-based mask, to provide the cylinder of photo<br />

resistor for further proces. The pore size is 30μm, gap is<br />

50μm (Fig 1). The experiment parameter shows in Table 1.<br />

The base plate was washed by acetone for cleaning the oil<br />

and dust on the surface, further washed by DI water then dry<br />

by nitrogen gas. The optical lithography processes include<br />

photo resistor coating, soft bake, exposure, and hot process.<br />

The AZ4620 photo resistor is spread on base plate through<br />

two stage spin coating. The purpose of first stage (300 rpm,<br />

10 sec) coating is spraying the photo resistor on the base<br />

plate. The thickness of photo resistor is controlled by the<br />

second stage spin coating (1500 rpm, 25 sec). After soft bake<br />

(90 ℃ , 3min) and exposure (15sec), the base plate was put<br />

into AZ 300MIF developer for several minutes, then the<br />

cylinder structure was formed on the plate surface. In hot<br />

process (160 ℃ , 10min), the kinetic energy of photo resistor<br />

molecular increased due to the temperature raise, with the<br />

effect of surface tension, the photo resistor will form the<br />

shape similar to spherical surface.<br />

Mixing PDMS and hardener at the ratio 10:1 then spray it<br />

on the plate surface, hard baking at 60C for 4 hr. Detach<br />

PDMS from the base plate, then get PDMS plate with indent<br />

array lens structure on it. The UV-curing PMMA was coated<br />

between PDMS plate and PET plate. Exposure this<br />

combined plate under UV light for curing the PMMA.<br />

Detach PDMS mold from this combined plate, attach this<br />

plate on the OLED device surface by the Ag particle adding<br />

silicon oil. The concentration of Ag particle is 0.5%, the<br />

particle size is up to 0.5 μm. The fabrication of OLED is first<br />

etching desired figure on ITO glass plate, then evaporation<br />

coating in order of hole transport layer (HTL) NPb (500 Å),<br />

Fig. 1 Design pattern layout on the PET mask. (unit:μm).<br />

Table 1 Experimental parameters in lithography process.<br />

Base plate clean H 2 SO 4 :H 2 O 2 =3:1 wash<br />

Acetone:60 min<br />

DI Water wash,N 2 dry<br />

120℃bake 20 min dry<br />

Spin coating Spread : 500 rpm 10 sec<br />

Spin : 2000-800 rpm 30 sec<br />

Soft bake 90℃ 3min<br />

Hold 5 min<br />

Exposure 350W, Near UV<br />

600mJ/cm 2<br />

Develop<br />

3.5min<br />

Hot process 160℃ , 30 min<br />

295


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Fig. 4. Optical performance measurement setup for OLED brightness.<br />

Fig. 2. The process flow to enhance the OLEDs brightness.<br />

Fig. 3. The structure of green light OLED.<br />

2.2 Optical performance measurement<br />

The micro-structure size of photo resistor was measured<br />

by optical microscope NanoFocus 3D confocal surface<br />

measurement system. The measurement of optical<br />

brightness shows in Fig 4 and Fig 5. The brightness<br />

enhancement film was apply on the OLED modulus and<br />

fixed by a frame. The PR-650 was used to measure the<br />

brightness of OLED with nothing, with silicon oil, and with<br />

silicon oil and Ag particles between OLED and brightness<br />

enhancement film.<br />

Fig. 5. Photograph of the OLED brightness measurement.<br />

III. RESULTS AND DISCUSSION<br />

3.1 Fabrication results<br />

The measurement of photo resistor structure by<br />

NanoFocus 3D confocal surface measurement system was<br />

showsinFig6andFig7.The result shows the lens is 30μm<br />

in diameter and 11 μm in height, the gap between lenses is<br />

50μm.<br />

Fig. 6. Photograph of the fabricated microlens array in photoresist.<br />

296


Fig. 7. Structural profile measurement by using NanoFocus 3D confocal<br />

microscope.<br />

3.2 Optical performance measurement<br />

The performance of OLED with varies fill-factor shows in<br />

Table 2 and Fig 8. Borrelli [14] have mentioned that the lens<br />

efficiency affect by the lens shape and fill-factor, the higher<br />

fill-factor lead to higher lens efficiency. The fill-factor could<br />

be defined as function 3-1.<br />

Lens Area<br />

fill - factor (%)= 100%<br />

(3-1)<br />

Base Area<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

and Fig 9. Without any medium between OLED and<br />

brightness enhancement film, the brightness increased by up to<br />

35% when the lens is 11μm in height. When use silicon oil as<br />

the medium, the brightness increased by up to 45% while the<br />

lens height is 11μm. When add sliver particle into silicon oil,<br />

the brightness increased by up to 61% while the lens height is<br />

11μm. From the results listed in Table 3, the optimize lens size<br />

is 30μm in diameter, 50μm in gap, and 11μm in height.<br />

Fig 10 (a) and (b) shows the brightness measurement of<br />

OLED with and without brightness film, from the result, the<br />

brightness significantly increased when add the enhancement<br />

film. The effect of lens shape also been studied in this work.<br />

When the lens shape is square, the length is 30μm and the gap<br />

is 50μm, the results of brightness measurement are shows in<br />

Table 4 to 6, and Fig 11 to 13. From the results, the square lens<br />

with no medium increase the OLED brightness by 31% when<br />

lens height is 11μm. With silicon oil medium, the brightness<br />

increased by up to 42%; with Ag silicon oil, the brightness<br />

increased by up to 59%. These results shows optimize square<br />

lens size is 30μm in length, 11μm in height and 50μm in gap.<br />

The square lens increase OLED brightness by up to 59%, but<br />

the overall performance of square lens is not as good as<br />

circular lens.<br />

In this work, the circular lenses are arranged in hexagonal<br />

array. From the results shows in Table 2 and Fig 8, when the<br />

lens gap decreased from 100μm to 50μm, the fill-factor<br />

increased from 7.1% to 28.3%, the brightness enhancement<br />

ratio increased from 22% to 40%. From the results above,<br />

under the same lens height, higher fill-factor leads to higher<br />

optical efficiency.<br />

Lens gap<br />

(μm)<br />

Table 2 The OLED brightness with varies fill-factor.<br />

Fill-fac<br />

tor<br />

(%)<br />

Original<br />

brightness<br />

(cd/m 2 )<br />

Brightness<br />

with lens<br />

cd/m 2 )<br />

Increase<br />

amount<br />

(cd/m 2 )<br />

Increase<br />

ratio<br />

(%)<br />

50 28.3 2348 2935 587 25<br />

70 14.4 2299 2713 414 18<br />

100 7.1 2249 2406 157 7<br />

Lens<br />

height<br />

(μm)<br />

Table 3 The OLED brightness with varies lens height.<br />

Medium<br />

Original<br />

brightnes<br />

s(cd/m 2 )<br />

Brightness<br />

with lens<br />

cd/m 2 )<br />

Increase<br />

amount<br />

(cd/m 2 )<br />

Increase<br />

ratio<br />

(%)<br />

5.6 None 2345 2626 281 12<br />

10.5 None 2288 3088 800 35<br />

15.4 None 2248 2720 472 21<br />

5.6 Silicon oil 2376 2803 427 18<br />

10.5 Silicon oil 2300 3335 1035 45<br />

15.4 Silicon oil 2279 3145 866 38<br />

5.6 Silicon oil<br />

with Ag 2321 31180 789 34<br />

particle<br />

10.5 Silicon oil<br />

with Ag 2309 3717 1408 61<br />

particle<br />

15.4 Silicon oil<br />

with Ag<br />

particle<br />

2349 3547<br />

1198<br />

51<br />

Fig. 8. The OLED brightness with varies fill-factor.<br />

The sliver particle and silicon oil was added into OLED<br />

modulus to improve the OLED efficiency. When the lens is<br />

30μm in diameter and 50μm in gap, measuring the front<br />

luminance with varies lens height, the result shows in Table 3<br />

Fig. 9. The OLED brightness with varies lens height.<br />

297


11-13 <br />

May 2011, Aix-en-Provence, France<br />

15.4 Square 2296 3008 712 31<br />

10.5 Circular 2279 3669 1390 61<br />

15.4 Circular 2343 3538 1195 51<br />

(a)<br />

Fig. 11. The brightness of OLED square/circular lens and no medium.<br />

(b)<br />

Fig. 10. Comparison of the brightness of the same OLED panel (a) with and<br />

(b) without the MLA film.<br />

Table 4 The brightness of OLED with square/circular lens and no medium.<br />

Fig. 12. The brightness of OLED with square/circular lens and silicon oil<br />

medium.<br />

Lens<br />

height<br />

(μm)<br />

Shape<br />

Original<br />

brightness<br />

(cd/m 2 )<br />

Brightness<br />

with lens<br />

(cd/m 2 )<br />

Increase<br />

amount<br />

(cd/m 2 )<br />

Increase<br />

ratio<br />

(%)<br />

10.5 Square 2336 3060 724 31<br />

15.4 Square 2311 2588 277 12<br />

10.5 Circular 2284 3106 822 36<br />

15.4 Circular 2309 2956 647 28<br />

Table 5 The brightness of OLED with square/circular lens and silicon oil<br />

medium.<br />

Lens<br />

height<br />

(μm)<br />

Shape<br />

Original<br />

brightness<br />

(cd/m 2 )<br />

Brightness<br />

with lens<br />

(cd/m 2 )<br />

Increase<br />

amount<br />

(cd/m 2 )<br />

Increase<br />

ratio<br />

(%)<br />

10.5 Square 2299 3265 966 42<br />

15.4 Square 2311 2773 462 20<br />

10.5 Circular 2303 3339 1036 45<br />

15.4 Circular 2323 3206 883 38<br />

Table 6 The brightness of OLED with square/circular lens and Ag silicon oil<br />

medium.<br />

Lens<br />

height<br />

(μm)<br />

Shape<br />

Original<br />

brightness<br />

(cd/m 2 )<br />

Brightness<br />

with lens<br />

(cd/m 2 )<br />

Increase<br />

amount<br />

(cd/m 2 )<br />

Increase<br />

ratio<br />

(%)<br />

10.5 Square 2332 3707 1375 59<br />

Fig. 13. The brightness of OLED with square/circular lens and Ag silicon oil<br />

medium.<br />

IV. CONCLUSION<br />

A new method to improve the external quantum efficiency<br />

of OLED has been developed. The result shows the brightness<br />

affect by the medium in OLED. When sliver particle add into<br />

silicon oil in OLED, the brightness increase significantly.<br />

When the lens is 11μm in height, 30μm in diameter, and<br />

50μm in gap, add sliver particle into silicon oil increase<br />

OLED brightness by up to 61%.<br />

298


ACKNOWLEDGMENT<br />

This work was supported by the National Science Council<br />

(series no. NSC98-2221-E-005-058-MY3) and Chung-Shan<br />

Institute of Science & Technology in Taiwan. The OLED<br />

device fabricated by Professor Fuh-Shyang Juang of National<br />

Formosa University is acknowledged.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

REFERENCES<br />

[1] C.-W. Tang and S.-A. VanSlyke, “Organic electroluminescent<br />

diodes”,Appl. Phys. Lett., Vol. 51, No. 12, pp. 913-915, 1987.<br />

[2] N.-C. Greenham, R.-H. Friend and D.-D.-CBradley, “Angular<br />

dependence of the emission from a conjugated polymer<br />

light-emiting diode: implications for eficiency calculations”, Adv.<br />

Mater., Vol. 6, No. 6, pp. 491-494, 1994.<br />

[3] C.-F. Madigan, M.-H. Lu and J.-C.Sturm, “Improvement of output<br />

coupling efficiency of organic light-emitting diodes by backside<br />

substrate modification”, Appl. Phys. Lett., Vol. 76, No. 13, pp.<br />

1650-1652, 2000.<br />

[4] M.-H. Lu and J.-C.Sturm, “External coupling eficiency in planar<br />

organic light-emiting devices”, Appl. Phys. Lett., Vol. 78, No. 13,<br />

pp. 1927-1929, 2001.<br />

[5] H. Kwon, Y. Yee, C.-H. Jeong, H.-J. Nam and J.-U.Bu, “A high-sag<br />

microlens array film with a full fill factor and its application to<br />

organic light emiting diodes”, J. Micromech. Microeng., Vol. 18,<br />

pp. 1-6, 2008.<br />

[6] M.-K. Wei, I.-L. Su, Y.-J. Chen, M. Chang, H.-Y. Lin, H.-Y. and<br />

T.-C.Wu, “The influence of a microlens aray on planar organic<br />

light-emiting devices,”J. Micromech. Microeng., Vol. 16, pp.<br />

368-374, 2006.<br />

[7] M.-K. Wei, J.-H. Lee, H.-Y. Lin, Y.-H. Ho, K.-Y. Chen, C.-C. Lin,<br />

C.-F. Wu, J.-H. Tsai and T.-C.Wu, “Eficiency improvement and<br />

spectral shift of an organic light-emitting device by attaching a<br />

hexagon-based microlens aray,”J. Opt., Vol. 10, pp. 1-9, 2008.<br />

[8] S. Möller and S.-R.Forest, “Improved light out-coupling in<br />

organic light emiting diodes employing ordered microlens arays”,<br />

J. Appl. Phys., Vol. 91, No. 5, pp. 3324-3327, 2002.<br />

[9] H. Peng, Y.-L. Ho, X.-J. Yu and M.-W.Wong, “Coupling efficiency<br />

enhancement in organic light-emitting devices using microlens<br />

array-theory and experiment” J. Display Technol., Vol. 1, No. 2,<br />

pp.278~282, 2005.<br />

[10] Y.-J. Lee, S.-H. Kim, H. Joon, G.-H. Kim, Y.-H. Lee, S.-H. Cho,<br />

Y.-C. Kim and Y.-R. Do, “A high-extraction-efficiency<br />

nanopatterned organic light-emiting diode”, Appl. Phys. Lett., Vol.<br />

82, No. 21, pp.3779-3781, 2003.<br />

[11] T. Yamasaki, K. Sumioka and T.Tsutsui, “Organic light-emitting<br />

device with an ordered monolayer of silica microspheres as a<br />

scatering medium”,Appl. Phys. Lett., Vol. 76, No. 21,<br />

pp.1243-1245, 2000.<br />

[12] T. Tsutsui, M. Yahiro, H. Yokogawa, K. Kawano and M. Yokoyama,<br />

“Doubling coupling-out efficiency in organic light-emitting<br />

devices using a thin silica aerogel layer”, Adv. Mater., Vol. 13, No.<br />

15, pp.1149-1152, 2001.<br />

[13] P.-A. Hobson, S. Wedge, J.-A.-E Wasey, I. Sage and W.-L.B Barnes,<br />

“Surface plasmon mediated emission from organic light-emitting<br />

diodes,” Adv. Mater., Vol. 14, No. 19, pp.1393-1396, 2002.<br />

[14] N.-F. Boreli, “Eficiency of microlens aray for projection LCD,”<br />

Electronic Components and Technology Conference, pp. 338-345,<br />

1994.<br />

299


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Integration of hybrid optical filter with buried quad<br />

pn-junction photodetector for multi-labeling<br />

fluorescence detection applications<br />

Charles Richard a , Patrick Pittet b,c , Stéphane Martel d , Luc Ouellet d , Guo-Neng Lu b,c ,<br />

Vincent Aimez a , Paul G. Charette a<br />

a Laboratoire de Biophotonique et d’Optoélectronique, Centre de recherche en nanofabrication et nanocaractérisation, Université<br />

de Sherbrooke, 2500 boul. de l’Université, Sherbrooke, Québec, J1K 2R1, Canada<br />

b Université de Lyon, F-69622, Lyon, France<br />

c CNRS, UMR5270, Institut des Nanotechnologies de Lyon, Université Lyon1, Villeurbanne<br />

d Teledyne DALSA, 18 boul. de l'Aéroport, Bromont, Québec, J2L 1S7, Canada<br />

Abstract - We present a hybrid optical filter combining<br />

interference and absorbing filtering approaches for enhancedperformance<br />

fluorescence detection systems. The filter is<br />

fabricated in a CMOS compatible process for monolithic<br />

integration with a CMOS photodetector. The rejection of<br />

fluorescence emission at 532 nm compared to 650 nm is over<br />

43 dB. The CMOS photodetector can be a BMJ (Buried<br />

Multiple-pn-Junction) structure for both photodetection and<br />

spectral discrimination. Employing a CMOS Buried Quad pn-<br />

Junction (BQJ) detector, multi-labeling spectral contributions<br />

of fluorescence emission can be determined (for cases up to 4<br />

tags).<br />

I. INTRODUCTION<br />

The emergence of technologies for biomedical<br />

applications has created new miniaturized devices that can<br />

perform the same biological analysis (for cells, bacteria,<br />

viruses, genes) as that in traditional medical laboratories [1].<br />

Also, integrated microfluidic systems with their portability,<br />

low cost and rapidity of analysis promise frontline<br />

analytical applications in food, environmental or industrial<br />

areas. Such systems can integrate detection methods for<br />

biosensors that can be mechanical, electrical, and optical.<br />

The use of fluorescent labels is an indirect optical detection<br />

method with good specificity and sensitivity [2]. The<br />

challenge with this method is to detect the relatively weak<br />

fluorescence signal in the presence of strong excitation<br />

light. The quality of this discrimination can be maximized<br />

by using high-performance optical filters and by optimizing<br />

the spectral selectivity of the photodetector.<br />

A lab-on-a-chip implementing fluorescence detection<br />

makes use of integrated optical filters and photodetector,<br />

where the optical filter is a critical component for the<br />

sensitivity of detection of the system [3]. If electronics are<br />

to be included in the chip implementation, the technological<br />

solution for filter integration must be compatible with the<br />

CMOS process. In a chip implementation, one particular<br />

challenge is the very short distance between the site of<br />

fluorescence emission and the photodetector, which requires<br />

that the intervening optical filter remain efficient over a<br />

large range of incidence angles. In addition, the<br />

photodetector may be a CMOS BMJ (Buried Multiple pn-<br />

Junction) structure, which enables both photo- and<br />

wavelength-sensitive detection [4]. The latter characteristic<br />

of the BMJ can be exploited for multiple-wavelength<br />

discrimination in multi-labelling biochemical assays.<br />

II.<br />

DEVICE AND PROCESS INTEGRATION<br />

A. Hybrid optical filter<br />

The role of the optical filter is to block the excitation<br />

signal and to allow transmission of (relatively) weak<br />

fluorescence signal. Such a filter can be either band-stop or<br />

long-pass filters – here, we consider only stop-band filters.<br />

The two main types of filter technologies used in<br />

fluorescence analysis are absorption filters (ex: colored<br />

glass or polymer) and interference filters (ex: thin-film<br />

dielectric stacks). Interference filters have the advantage of<br />

simple stop band tuning with sharp transitions [5].<br />

However, they have poor performance at off-axis<br />

illumination and high rejection in the stop-band can only be<br />

achieved at the price of many layers (up to 70). Absorbing<br />

filters are simple to integrate by spin-coating. However,<br />

autofluorescence of the filter material can be significant and<br />

can limit the sensitivity of detection. Moreover, a thick<br />

layer is required to obtain attenuations comparable to that of<br />

interference filters where the unwanted attenuation in the<br />

pass-band may become significant.<br />

To overcome the drawbacks of these conventional filters,<br />

we have developed a hybrid optical filter [6]. This filter<br />

consists of superimposed interference and absorbing filters.<br />

The interference filter is a stack of 9 alternating thin-film<br />

layers of TiO 2 /SiO 2 , deposited using E-Beam evaporation<br />

and RF sputtering. The absorbing filter is fabricated using a<br />

dilution of red dye (Orasol Red, Ciba-Geigy, USA) in<br />

KMPR negative photoresist (MicroChem Corp., USA).<br />

Orasol Red is a metal complex (Chrome complex) dye [7]<br />

300


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

and where the Cr particles act as quenchers of fluorescence<br />

V4 V3 V2 V1<br />

similarly to TiO 2 [8].<br />

It should be mentioned that the absorbing filter must be<br />

implemented with low-autofluorescence materials (see<br />

autofluorescence measurements of different polymeric<br />

p+<br />

materials in Fig. 1). Our measurements show that the<br />

I1<br />

autofluorescence of our chosen material combination,<br />

n-base<br />

I2<br />

KMPR/Orasol, is low, comparable to that of glass substrate.<br />

P-Well<br />

I3 Deep N-Well<br />

B. CMOS BMJ (Buried Multiple pn-Junction) structure<br />

I4 P+ substrate<br />

Integrated BMJ photodetectors such as BDJ and BTJ<br />

Fig. 2 BQJ a) structure b) Chip micrograph<br />

(Buried Double/triple pn-Junction) have been reported [9-<br />

10]. These devices feature two or three photodiodes in<br />

stacked form and have an aggregate spectral response<br />

covering the visible and near-IR ranges [11]. These BMJ<br />

can thus be operated for photodetection like a photodiode,<br />

but with a more sensitive response owing to signal<br />

contributions from the multiple photodiodes. In addition,<br />

the wavelength-sensitive characteristics of BMJ detectors<br />

are particularly interesting for spectral discrimination.<br />

When measuring a ratio between two signals from the C. Process Integration<br />

stacked photodiodes, it is possible to distinguish different<br />

fluorescence spectra, and in cases of biochemical analysis,<br />

to lead to molecular identification. Such detection does not<br />

require dispersive optical devices such as gratings, and is<br />

particularly suitable for low-level fluorescence [4].<br />

With an increased number of stacked photodiodes, the<br />

spectral sensitivity as well as the capability of spectral<br />

discrimination of the BMJ detector can be improved. Thus<br />

we propose a BQJ (Buried Quad pn-Junction) detector that<br />

has been designed and fabricated using the Teledyne-<br />

DALSA (Bromont, Canada) C08G 0.8 μm multi-high<br />

voltage CMOS/DMOS process.<br />

The proposed BQJ photodetector structure (Fig. 2)<br />

consists of four stacked buried junctions consisting of a<br />

I3 + I4<br />

I2 + I3<br />

I1 + I2<br />

I1<br />

shallow p+-diffusion/n-base well junction (J1), a deeper P-<br />

Well/n-base junction (J2), a P-Well/Deep N-Well junction<br />

(J3) and P+ substrate/Deep N-Well junction (J4),<br />

respectively. It has 4 outputs allowing bias setting of the<br />

buried junctions and signal readout. Simple processing of<br />

these output signals determines the 4 photodiodes currents<br />

(I 1 , I 2 , I 3 and I 4 ) as well as their sum.<br />

For monolithic integration of a hybrid optical filter with<br />

the BQJ photodetector, we have experimented with two<br />

CMOS post-processing approaches. The first one consists in<br />

depositing the hybrid filter directly onto the packaged<br />

CMOS die (see Fig. 3). Though this technique is not<br />

compatible with industrial processes, it is nevertheless a<br />

convenient lab approach to validate the performance of our<br />

integrated hybrid filters on BMJ photodetector.<br />

The interference filter (thickness: ~ 1.2 µm) can be<br />

deposited onto a packaged CMOS BMJ photodetector as<br />

shown on Fig. 5. The interference filter was designed using<br />

the Essential Macleod software (Thin Film Center Inc.).<br />

The second step of this integration is the deposition of the<br />

absorbing component (thickness: ~ 1.6 µm) by spin-coating.<br />

An alternative process integration approach is to deposit<br />

the hybrid filter directly on a CMOS wafer, while protecting<br />

the PAD interconnections for use of the device under a<br />

probing station or for interconnects in a standard package.<br />

Fig. 1 Autofluorescence measurements of the various candidate materials for<br />

use as an absorbing filter.<br />

Fig. 3 Hybrid filter (integration of the interference filter shown into the<br />

inset) on BDJ photodetector packaged in DIP-28 package.<br />

301


Presently, the microfabrication processes we use are the liftoff<br />

process (LOR 3B + Shipley 1813) for the deposition of<br />

the interference filter and the utilization of a hard-mask for<br />

delimitation of the photodetector active region, combined<br />

with plasma O 2 for stripping the absorbance component.<br />

III.<br />

TESTS AND RESULTS<br />

A. Optical filter tests<br />

For the optical filter measurements, the stop-band<br />

attenuation is evaluated at the excitation wavelength of<br />

532 nm while the pass-band attenuation is evaluated at<br />

emission wavelengths above 650 nm.<br />

With the E-Beam evaporation manufacturing process, the<br />

interference filter has an attenuation of -12.6 dB at 532 nm<br />

and -0.76 dB at 650 nm. Absorbing filter attenuation is<br />

-32.6 dB at 532 nm and -1.28 dB at 650 nm. The<br />

combination of these two filter types gives a rejection of<br />

43 dB between the excitation and the emission bands. This<br />

spectral rejection remains practically constant over angular<br />

incidences ranging from 0 to 60 degrees (Fig. 4).<br />

To improve the fabrication reproducibility of the<br />

interference filter via a better control over film thickness,<br />

we moved from E-Beam evaporation to RF-sputtering.<br />

With this technique, the interference filters have a measured<br />

rejection of 16 dB – nearly identical to the previous<br />

fabrication process with E-Beam evaporation. Combined<br />

with absorbing component, the total rejection is 47dB.<br />

B. BQJ detector and signal processing<br />

At the BQJ detector’s outputs, reverse bias setting and<br />

photocurrent measurements were performed using a probing<br />

station. The photocurrent of each junction were calculated<br />

from I ph,i = I i – I dark,i , where I dark,i was measured in dark<br />

conditions (I dark,i = 1.04 pA, 0.86 pA, 2.22 pA, 9.29 pA, for i<br />

= 1 to 4, at room temperature).<br />

Fig. 5a shows the measured spectral responses of the BQJ<br />

detector prior to filter deposition. To eliminate fluctuations<br />

in the response due to mutual interference from the<br />

reflections at the dielectric interfaces and power variations<br />

of the optical source, the normalization ( N<br />

~ i ( ) ) of BQJ<br />

responses are used according to the following definition:<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

~ S<br />

, ( )<br />

i ( )<br />

I phi <br />

Ni<br />

( ) <br />

with i = 1, ... 4 (1)<br />

4<br />

4<br />

S j <br />

I ph,<br />

j <br />

<br />

j1<br />

j1<br />

where I ph is the photocurrent of each junction.<br />

Fig. 5b shows the normalized responses of the BQJ<br />

detector for a wavelength range between 450 nm and<br />

700 nm, showing the desired smooth curves. For each<br />

specific wavelength, the graphs on Fig. 5b show the<br />

contribution of each junction relative to the sum of the<br />

photocurrents.<br />

Using the BQJ detector for multi-tag fluorescence<br />

applications, up to four-tag contributions can be determined.<br />

To evaluate this capacity of spectral discrimination, a<br />

multiple-LED source with peak emission wavelengths<br />

respectively at λ 1 = 463nm, λ 2 = 575nm, λ 3 = 625nm,<br />

λ 4 = 655nm) was used to simulate a multi-tag fluorescencelike<br />

mixture: λ 1 , λ 1 + λ 2 , λ 1 + λ 3 , …. Using a vectorial<br />

analysis (calculation of an error vector in 4-dimensional<br />

space), multi-wavelength test optical inputs were correctly<br />

identified in twelve situations over the fifteen possibilities.<br />

Identification errors are due to the fact that two LEDs have<br />

very close emission wavelengths (λ 3 and λ 4 ). This spectral<br />

proximity caused an ill-conditioned problem in the vectorial<br />

analysis, to be avoided.<br />

(a)<br />

Fig. 4 Performance of the hybrid filter as a function of illumination angle.<br />

(b)<br />

Fig. 5 (a) Spectral response of BQJ detector (b) Normalization of BQJ<br />

outputs (Reverse bias: 1.5 V for each junction).<br />

302


The transmittance of the filter deposited onto a BDJ<br />

detector can be obtained by calculating the logarithmic ratio<br />

of the measured photocurrents before and after filter<br />

deposition (Fig. 6). We validated this measurement method<br />

by comparing results from an on-chip integrated<br />

interference filter with another filter deposited on a<br />

reference glass substrate (Eagle XG) in the same fabrication<br />

process. The measured attenuation of the interference filters<br />

was -12.0 dB and -12.6 dB, respectively. The transmittance<br />

was evaluated by monitoring the photocurrents from the two<br />

photodiodes.<br />

The transmittance of the hybrid filter compared to a<br />

reference glass substrate shows a potential of total rejection<br />

near 50 dB from 532 nm (reject band) to 650 nm (passband).<br />

However, measurements for the same filter<br />

deposited on the detector were close to the noise floor of<br />

our SMU (HP4142B, Agilent, USA). For this reason, the<br />

photocurrent at 532 nm (illumination with a frequencydoubled<br />

YAG laser) was obtained using the on-chip<br />

integrated charge amplifier coupled with the integration<br />

time method [12].<br />

The attenuation of the hybrid filter deposited on a BDJ<br />

detector was evaluated to be -60 dB at 532 nm, compared to<br />

-50 dB for the reference case with filter deposition on a<br />

glass substrate. The difference between the two cases may<br />

be due to a mismatch of deposited absorbing filter<br />

thickness. In the packaged die, the thickness is probably<br />

greater larger than that of the reference due to the non-ideal<br />

spin-coating and the presence of more pronounced edgebead.<br />

The main characteristics of the BQJ detector and the<br />

on-chip integrated filters are summarized in Table I.<br />

IV. CONCLUSION<br />

We have proposed a hybrid interference-absorbing filter<br />

with optimized performance (for normal incidence and offaxis<br />

illumination) for fluorescence detection requiring high<br />

excitation rejection and minimal autofluorescence. The<br />

rejection reaches -60 dB between 532nm to 650nm.<br />

Fig. 6 Attenuation of the interference filter over the BDJ photodetector<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Photodetector<br />

Interference<br />

filter<br />

Absorbing filter<br />

Hybrid filter<br />

TABLE I<br />

MAIN RESULTS<br />

0.8μm Multi-HighVoltage CMOS/DMOS DALSA<br />

Type : Buried Quad pn-Junction (BQJ)<br />

Active area: 200 x 200 µm² (shallow-junction)<br />

Total area: 420 x 420 µm 2<br />

Dark currents @ room temperature<br />

I 1=1.04 pA, I 2=0.86 pA, I 3=2.22 pA, I 4=9.29 pA<br />

9 thin-film layers (TiO 2/SiO 2)<br />

Thickness: ~ 1.2 µm<br />

Manufacturing process : E-Beam evaporation<br />

-12.6 dB @ 532 nm (stop-band)<br />

-0.76 dB @ 650 nm (pass-band)<br />

Manufacturing process : RF sputtering<br />

-16.6 dB @ 532 nm (stop-band)<br />

-0.5 dB @ 650 nm (pass-band)<br />

KMPR photoresist + Orasol Red<br />

Thickness: ~ 1.6 µm<br />

Manufacturing process : spin-coating<br />

-32.6 dB @ 532 nm (stop-band)<br />

-1.28 dB @ 650 nm (pass-band)<br />

Rejection between the excitation and the emission<br />

bands:<br />

43 dB for E-Beam evaporation process<br />

47 dB for RF sputtering process<br />

The developed fabrication process is compatible with<br />

CMOS integration. Its on-chip integration with a CMOS<br />

BQJ detector allows determination of multi-labeling<br />

spectral contributions (up to 4 tags).<br />

ACKNOWLEDGMENTS<br />

This work was supported in part by grants from the<br />

Natural Sciences and Engineering Research Council of<br />

Canada (NSERC), Nano-Québec, and Teledyne-DALSA.<br />

The collaborative work was supported by the Laboratoire<br />

International Associé en Nanotechnologies et Nanosystèmes<br />

(LIA-LN2).<br />

REFERENCES<br />

[1] M. Dandin, P. Abshire, and E. Smela, "Optical filtering<br />

technologies for integrated fluorescence sensors," Lab on a Chip,<br />

vol. 7, pp. 955-77, 2007/08/ 2007.<br />

[2] G. T. Roman and R. T. Kennedy, "Fully integrated microfluidic<br />

separations systems for biochemical analysis," Journal of<br />

Chromatography A, vol. 1168, pp. 170-188, Oct 19 2007.<br />

[3] R. Bashir, "BioMEMS: State-of-the-art in detection, opportunities<br />

and prospects," Advanced Drug Delivery Reviews, vol. 56, pp.<br />

1565-1586, 2004.<br />

[4] P. Pittet, J. M. Galvan, G. N. Lu, L. J. Blum, and B. D. Leca-<br />

Bouvier, "CMOS LIF detection system for capillary analysis,"<br />

Sensors and Actuators B (Chemical), vol. B97, pp. 355-61, 2004.<br />

[5] K.-S. Shin, Y.-H. Kim, J.-A. Min, S.-M. Kwak, S. K. Kim, E. G.<br />

Yang, J.-H. Park, B.-K. Ju, T.-S. Kim, and J. Y. Kang,<br />

"Miniaturized fluorescence detection chip for capillary<br />

electrophoresis immunoassay of agricultural herbicide atrazine,"<br />

Instrumental Methods of Analysis - IMA 2005, Analytica Chimica<br />

Acta, vol. 573-574, pp. 164-171, 2006.<br />

303


[6] C. Richard, A. Renaudin, V. Aimez, and P. G. Charette, "An<br />

integrated hybrid interference and absorption filter for fluorescence<br />

detection in lab-on-a-chip devices," Lab on a Chip, vol. 9, pp.<br />

1371-1376, 2009.<br />

[7] K. Wojtach, et al., "Characteristics of colored inorganic-organic<br />

hybrid materials," Journal of Non-Crystalline Solids, vol. 353, pp.<br />

2099-2103, 2007.<br />

[8] M. Yamazaki, et al., "Non-emissive colour filters for fluorescence<br />

detection," Lab on a Chip, 2011.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

[9] K. Liang, W. Li, H.R. Ren, X.L. Liu, W.J. Wang, R. Yang, D.J.<br />

Han“Color measurement for RGB white LEDs in solid-state<br />

lighting using a BDJ photodetector”, Displays, vol. 30 pp. 107–<br />

113, 2009.<br />

[10] M. B. Chouikha, G. N. Lu, M. Sedjil, and G. Sou, "Colour<br />

detection using buried triple pn junction structure implemented in<br />

BiCMOS process," Electronics Letters, vol. 34, pp. 120-122, 1998.<br />

[11] G. N. Lu, "A dual-wavelength method using the BDJ detector and<br />

its application to iron concentration measurement," Measurement<br />

Science & Technology, vol. 10, pp. 312-315, 1999.<br />

[12] P. Pittet, et al., "Variable time synchronous detection method for<br />

sensitive optical detection," Electronics Letters, vol. 39, pp. 860-<br />

862, 2003.<br />

304


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fabrication and Characteristics of a Fused<br />

Silica-Based Optical Waveguide with Femtosecond<br />

Fiber Laser Pulses<br />

Ting-Chou Chang 1 , Chien-Hsing Chen 2 , Wei-Hung Shih 3 , Jian-Neng Wang 4 , Chai-Yu Lee 1 , Jaw-Luen Tang 2 , Shau-Chun<br />

Wang 1 , Lai-Kwan Chau 1 , Wei-Te Wu 5*<br />

1 Department of Chemistry and Biochemistry, National Chung Cheng University<br />

168 University Road, Minhsiung, Chiayi 621, Taiwan<br />

2 Department of Physics, National Chung Cheng University<br />

168 University Road, Minhsiung, Chiayi 621, Taiwan<br />

3 Department of Mechanical Engineering, National Chung Cheng University<br />

168 University Road, Minhsiung, Chiayi 621, Taiwan<br />

4 Department of Construction Engineering, National Yunlin University of Science and Technology,<br />

123 University Road, Section 3, Douliou, Yunlin 640, Taiwan<br />

5* Department of Biomechatronics Engineering, National Pingtung University of Science and Technology<br />

1, Shuefu Road, Neipu, Pingtung 912, Taiwan<br />

Tel: +886-8-770-3202 Ext. 7599; Fax: + 886-8-774-0420; weite@mail.npust.edu.tw<br />

Abstract<br />

This study investigates the fabrication characteristics<br />

of a femtosecond fiber laser on a fused-silica-based optical<br />

waveguide. The wavelength and repetition rate of the<br />

femtosecond fiber laser are 532 nm and 1 MHz,<br />

respectively. We selected three main fabrication<br />

parameters for systematic adjustment: laser power (E),<br />

scanning speed ( v s<br />

) and focus depth (d = 0 at the surface<br />

of substrate). We succeeded in fabricating a waveguide<br />

layer inside the silica subtracts. By analyzing the light<br />

translation path and the net fluence in the waveguide, the<br />

range of fabrication energy of the waveguide on the fused<br />

silica was kept within 0.973 - 1.438 KJ/cm 2 .<br />

I. Introduction<br />

Recently, developments in nanotechnology have led to<br />

a proliferation of electro-optic system applications. To<br />

minimize system size, industries including communications,<br />

construction and biomedical detection have widely applied<br />

optical waveguides such as photonic crystal fibers [1], fiber<br />

interferometers [2], surface plasma resonance (SPR) sensors<br />

[3], localized plasma resonance (LPR) sensors [4] and<br />

guided-mode resonance (GMR) sensors [5].<br />

Waveguide device are fabricated through techniques<br />

including ion bombardment, laser machining,<br />

photolithography, and mechanical stamping [6], commonly<br />

using fused silica as a substrate. Laser machining is a low cost,<br />

high speed and high yield method for the localized heat<br />

treatment of fused silica. However the linear absorption of<br />

fused silica depends on the laser source. Using an ultraviolet<br />

laser requires a process to bind oxygen to the fused silica to<br />

increase light sensitivity [7]. Using CO 2 laser [8] results in a<br />

greatly increased linear absorption of the fused silica which<br />

makes precise machining more difficult and can cause<br />

damage around the machining area. High-power density<br />

femtosecond fiber lasers with a pulse of 10 -15 seconds are an<br />

appropriate tool for the fabrication of optical waveguides due<br />

to their independence in the linear absorbing effect of fused<br />

silica.<br />

This study investigates the fabrication characteristics of<br />

femtosecond fiber lasers on fused-silica-based optical<br />

waveguides. We selected three main fabrication parameters,<br />

laser power (E), scanning speed ( v s<br />

) and focus depth (d = 0 at<br />

the surface of substrate) which are systematically adjusted to<br />

investigate the differences of post-machining light waveguide<br />

characteristics, transmission loss rate and the relation<br />

between the net influence and light waveguide.<br />

II. Experimental section<br />

1. Waveguide principles<br />

As shown in Fig. 1, the light waveguide is composed of<br />

a layer of Media 1 (i.e. a media different from the substrate)<br />

sandwiched between two layers of Media 2 (i.e. the<br />

substrate).<br />

One of two application phenomena of light waveguides<br />

is the refraction within these media with different refraction<br />

indices. Based on the Snell’s law, the refraction angle, φ , is<br />

smaller than the incident angle, θ , as light is incident into<br />

Media 1. The other application phenomenon is total reflection<br />

for keeping and transmitting all laser energy within the Media<br />

1 layer. This means that Snell’s law requires the refraction<br />

index of Media 1, n 1 , to be larger than that of Media 2.<br />

The numerical aperture (NA), (i.e., the maximum<br />

acceptable energy of light wave guide), is defined as.<br />

305


NA sinθ c<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

2 2<br />

<br />

1<br />

−=≡<br />

nn (1) rotating the half-wavelength and polarization slides. The laser<br />

2<br />

is focused by an objective lens (Mitutoyo-10X,NA=0.28).<br />

The charge-coupled device (CCD) is used to help aim the laser<br />

on the machining area to ensure beam quality.<br />

The machining path and machining rate are controlled by<br />

programming the X-Y micro-positioning platform. An optical<br />

microscope is used to inspect the machined products.<br />

where θ c is the maximum acceptance angle.<br />

The light among the incident light increases with NA. If<br />

the incident angle is larger than θ c , some light is refracted<br />

into Media 2. Therefore, the incident angle must be smaller<br />

thanθ c to satisfy the total reflection and forming guide mode.<br />

Air, n air<br />

n 2<br />

media 2<br />

n 1<br />

media 1<br />

n 2<br />

III. Results and Discussion<br />

1. Waveguide fabrication<br />

In this study we selected three main fabrication<br />

parameters, laser power (E), scanning speed ( v s<br />

) and focus<br />

depth (d = 0 at the surface of substrate). By fixing the laser<br />

power at 170 mW and the focus depth at 0 μm, the fused silica<br />

was modified at scanning speeds.<br />

media 2<br />

Fig. 1 Waveguide translation principle<br />

In general, the fused silica is homogeneous with the<br />

constant refraction index. However, the refraction index of<br />

fused silica increases with the annealing rate [9]. The<br />

femtosecond laser’s pulse characteristic makes it appropriate<br />

for decreasing the annealing rate. The pulse energy does not<br />

integrated easily in the working area and results in a lower<br />

annealing rate.<br />

5.1μm<br />

(a)<br />

1mm/s<br />

4.1μm<br />

3.0μm<br />

2.7μm<br />

(b) (c) (d)<br />

2mm/s 3mm/s 4mm/s<br />

2. Experimental Setup<br />

The specifics of the apparatus used in this study are<br />

shown in Table 1 and Fig. 2. The central wavelengths of the<br />

laser are 532 and 1064 nm, the pulse duration is less than 400<br />

fs and the repetition rate is 1 Hz – 1 MHz. The laser beam is a<br />

Gaussian beam.<br />

Fig. 2 Femtosecond fiber laser machining system schematic<br />

Table 1 Femtosecond fiber laser machining system<br />

specification<br />

Wavelength 1064 nm & 532 nm<br />

Repetition rate<br />

1 Hz~1 MHz<br />

Pulse duration<br />


increased.<br />

11-13 May 2011, Aix-en-Provence, France<br />

Power meter<br />

LD@1553nm<br />

collimator<br />

3.6μm<br />

2.5μm<br />

MMF-fiber<br />

(a)<br />

1mm/s<br />

(b)<br />

2mm/s<br />

(c)<br />

3mm/s<br />

Fig. 4 Fabrication with various scanning speeds at E = 170 mW and<br />

d = 10 μm<br />

3.6μm<br />

(a)<br />

5mm/s<br />

3.7μm<br />

(d)<br />

8mm/s<br />

3.3μm<br />

(b)<br />

6mm/s<br />

3.3μm<br />

(e)<br />

9mm/s<br />

2.3μm<br />

(c)<br />

7mm/s<br />

2.5μm<br />

(f)<br />

10mm/s<br />

Fig. 5 Fabrication with various scanning speeds at E = 170<br />

mW and d = 0 μm<br />

The laser power was increased to 230 mW to modify fused<br />

silica 10 μm in depth. Scanning speed should be increased to<br />

avoid surface ablation. The results in Fig. 5 show that the<br />

fused silica is ablated given scanning speeds between 5 mm/s<br />

and 7 mm/s; and modified widths of3.7μm, 3.3μm and 2.5μm<br />

correspond to scanning speeds of 8 mm/s, 9 mm/s and 10<br />

mm/s, proving that the fused silica can be modified at different<br />

depths through focusing and tuning the laser power and<br />

scanning speed.<br />

2. Waveguide propagating loss measurement<br />

Fig. 6 shows the system for measuring waveguide<br />

propagating loss. The system conducts the laser diode (LD,<br />

center wavelength = 1553 nm) to the waveguide layer on the<br />

XYZ-rotation stage. In the end of waveguide layer, the<br />

collimator couples the multi-mode optic fiber to the power<br />

meter for acquiring and analyzing signal. The results show<br />

that the propagating loss are 4.6 dB/cm、4.8 dB/cm、6.2<br />

dB/cm as the scanning velocities are 8 mm/s, 9 mm/s and 10<br />

mm/s, respectively, with 230 mW and 10 μm of depth. It<br />

indicates that the increased scanning velocity causes the<br />

larger energy loss due to the low absorbing energy of fused<br />

silica.<br />

XYZ-rotation<br />

stage<br />

Transmission (dBm)<br />

0<br />

-5<br />

-10<br />

-15<br />

-20<br />

-25<br />

-30<br />

-35<br />

-40<br />

LD<br />

-45<br />

1553.2 1553.4 1553.6 1553.8 1554.0 1554.2<br />

Wavelength (nm)<br />

Fig. 6 The system for measuring waveguide propagating loss<br />

Table 2 Fabrication parameters of waveguide using<br />

femtosecond laser<br />

Laser<br />

power<br />

E<br />

(mW)<br />

170<br />

230<br />

Scanning focusing<br />

velocity depth<br />

NF<br />

results<br />

v<br />

s d<br />

(KJ/cm 2 )<br />

(mm/s) (μm)<br />

1<br />

ablation 7.191<br />

2 ablation 3.596<br />

3 ablation 2.397<br />

4 0 ablation 1.798<br />

5 waveguide 1.438<br />

6 waveguide 1.198<br />

7 waveguide 1.027<br />

5<br />

ablation 1.946<br />

6 ablation 1.621<br />

7 ablation 1.390<br />

10<br />

8 waveguide 1.216<br />

9 waveguide 1.081<br />

10 waveguide 0.973<br />

3. Waveguide discussion<br />

The laser power, the diameter of the laser beam, the<br />

scanning speed and the rate of repetition are all very<br />

influential factors in laser machining. This study analyzes the<br />

machining performance with NF factor [10], as shown below.<br />

2ω0<br />

PRF<br />

NF =<br />

(5)<br />

vs<br />

where ω is the minimal radius of the laser beam, R = 1<br />

0<br />

MHz is the repetition rate, and E<br />

F p<br />

= is the average<br />

2<br />

Rπω 0<br />

fluence per lasing. In this study, the laser wavelength, λ , is<br />

532 nm. The focus distance of the lens, f , is 20 mm. The<br />

diameter of the incident laser, D, is 5 mm. The ω is 1.5 μm,<br />

0<br />

estimated by the 1.05 of the measured laser beam quality<br />

factor ( D<br />

M<br />

2 πω0<br />

= ). E is the laser power. Substituting these<br />

2λf<br />

parameters into Eq.(5), the range of the NF value is 1.438 –<br />

0.973 KJ/cm 2 , indicating the range of fabrication energy of<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

307


the waveguide on the fused silica, as shown in Table 2 and Fig.<br />

7. Furthermore, based on Table 2, the laser power should be<br />

increased with the scanning speed, thus increasing machining<br />

speed.<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

silica is kept within 1.438 – 0.973 KJ/cm 2 . Future research<br />

could study parameters such as NA, NF and transmission loss<br />

in optimal methods to develop new design applications for<br />

biochemistry sensors and micro-optic systems.<br />

Modification type<br />

Waveguide<br />

No change<br />

Damage<br />

d=0μm<br />

d=10μm<br />

1 2 3 4 5 6 7<br />

NF (kJ/cm 2 )<br />

Fig. 7 The modification type with NF variation<br />

In this study, a waveguide fabricated with 170 mW laser<br />

power, 5mm/s scanning speed and 0 μm focus depth<br />

successfully conducted light as shown in Fig. 8, proving that<br />

femtosecond lasers can be used to fabricate waveguides on<br />

fused silica.<br />

Fig. 8 Waveguide fabricated with 170 mW laser power,<br />

5mm/s scanning speed and 0 μm focus depth<br />

IV. Conclusions<br />

This study describes the successful fabrication of a<br />

fused-silica-based optical waveguide using a femtosecond<br />

fiber laser, and an investigation of the fabrication<br />

characteristics of femtosecond fiber lasers on<br />

fused-silica-based optical waveguides. The results show that<br />

the modified width decreases with increasing scanning speed,<br />

regardless of machining depth. By analyzing the light<br />

translation path and the net fluence in the waveguide, the<br />

range of fabrication energy of the waveguide on the fused<br />

References<br />

1. C. H. Chen, S. C. Chen, Y. C. Chen, H. T. Hu, T. H.<br />

Wei, W. T. Wu, J. N. Wang and J. L. Tang, Research<br />

on laser-induced long-period fiber grating sensor<br />

modified with gold nano-rods, The 8th Pacific Rim<br />

Conference on Lasers and Electro-Optics, Shanghai,<br />

2009<br />

2. Chien-Hsing Chen, Yi-Chun Chen, Jian-Neng Wang,<br />

Lai-Kwan Chau, Jaw-Luen Tang and Wei-Te Wu,<br />

“Multimode fiber Mach–Zehnder interferometer for<br />

measurement of refraction index”, IEEE Sensors<br />

2010 Conference - the 9th Annual IEEE Conference<br />

on Sensors, 2010/11/1-2010/11/4, USA.<br />

3. Y. Liu, J. Kim, Numerical investigation of finite<br />

thickness metal-insulator-metal structure for<br />

waveguide-based surface plasmon resonance<br />

biosensing, Sens. and Actu. B, Vol. 148, pp. 23-28,<br />

2010.<br />

4. L. K. Chau, Y. F. Lin, S. F. Cheng, and T. J. Lin,<br />

Fiber-optic chemical and biochemical probes based<br />

on localized surface plasmon resonance, Sens. and<br />

Actu. B, Vol. 113, pp. 100–105, 2006.<br />

5. Ian D. Block, Nikhil Ganesh, Meng Lu, and Brian T.<br />

Cunningham, ”Bulk-Micromachined Optical Filer<br />

Based on Guided-Mode Resonance in Silicon-Nitride<br />

Membrane,” IEEE Sens. J., Vol. 8, pp.274-280, 2008.<br />

6. C. S. Ma, W. B. Guo, D. M. Zhang, K. X. Chen, Y.<br />

Zhao, F. Wang, Z. C. Cui, S. Y. Liu, Analytical<br />

modeling of loss characteristics of a polymer arrayed<br />

waveguide grating multiplexer, Vol. 34 PP. 621-630,<br />

2002.<br />

7. C. Chen, X. Sun, D. Zhang, Z. Shan, S. Y. Shin, D.<br />

Zhang, Dye-doped polymeric planar waveguide<br />

devices based on a thermal UV-bleaching technique,<br />

Optics & Laser Technology, Vol. 41 , pp. 495–498,<br />

2009.<br />

8. A. M. Vengsrlar, P. J. Lemaire, et al. “Long-Period<br />

Fiber Gratings as Band-Rejection Filters,” Journal of<br />

Lightwave Technology, vol. 4, pp. 58-65, 1996.<br />

9. J. W. Chan, T. R. Huser, S. H. Risbud, J. S. Hayden, D.<br />

M. Krol, Waveguide fabrication in phosphate glasses<br />

using femtosecond laser pulses, APPLIED PHYSICS<br />

LETTERS, Vol. 82, pp. 2371-2373, 2003.<br />

10. L. Shah, Y. A. Arai, S. M. Eaton, P. R. Herman,<br />

Waveguide writing in fused silica with a femtosecond<br />

fiber laser at 522 nm and 1 MHz repetition rate,<br />

Optics Express, Vol. 13, pp. 1999-2006, 2005.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

308


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Capacitive Microphone fabricated with<br />

CMOS-MEMS Surface-Micromachining Technology<br />

Josué Esteves, Libor Rufer, Gustavo Rehder<br />

TIMA Laboratory (CNRS, G-INP, UJF)<br />

46 Avenue Félix Viallet, Grenoble, France<br />

Abstract- This paper presents a standard complementary metal–<br />

oxide–semiconductor (CMOS) technology combined with<br />

sacrificial layers etching used for micro-electro-mechanical<br />

systems (MEMS) fabrication. We will describe the modeling and<br />

the design of an acoustic device represented here by a condenser<br />

microphone with a perforated diaphragm. Models based on the<br />

electromechanical analogy and on the finite element analysis<br />

(FEA) have been used to predict the behavior of the microphone.<br />

These models have taken into account material constants and<br />

dimensions of the AMS 0.35 μm CMOS technology. An effect of<br />

etch holes in the microphone diaphragm on the dynamic response<br />

of the structure was studied and an optimization study has been<br />

done to determine the sensor lateral dimensions and the position<br />

of these holes. We will show simulation results, the microphone<br />

design and the final layout of the structure.<br />

I. INTRODUCTION<br />

Several studies have been carried out since last two decades<br />

with the aim to use a standard CMOS process to fabricate<br />

micro-electro-mechanical systems [1], [2]. This, so called,<br />

CMOS-MEMS process was originally introduced as a<br />

technique using back-side bulk micro-machining (BSBM), and<br />

later front-side bulk micro-machining (FSBM) of a silicon<br />

wafer, thus allowing to free-up layers deposited on the silicon<br />

wafer during the CMOS process. The technologies based on<br />

the bulk etch of silicon made possible to design different<br />

devices with movable elements made of a stack of several<br />

layers deposited on top of silicon wafer during a CMOS<br />

process. Different realizations using this approach can be<br />

found in [3], [4].<br />

More recently, another approach to a CMOS-based MEMS<br />

fabrication was proposed [5]. This technique is based on<br />

surface micromachining applied on specific layers issued from<br />

CMOS process. Thus metal layers can be considered as<br />

sacrificial and can be removed for instance with PAN etch<br />

(Phosphoric, acetic and nitric acids). Remaining structure can<br />

be then composed of silicon nitride, silicon oxide and<br />

polysilicon layers [5]. In some cases, it is more convenient to<br />

keep metal layers for device design. In this case, silicon oxide<br />

is chosen as a sacrificial layer and is removed for example<br />

with buffered oxide etch (BOE) saturated with aluminum<br />

(silox vapox III – transene) [6]. Further, the silicon oxide can<br />

also be etched by vapor HF, which does not attack the<br />

aluminum layers and prevents inter-layers stiction.<br />

Advantages of this new CMOS-MEMS fabrication<br />

technique are easy execution, low-cost maskless etching and<br />

the possibility to integrate the electronic circuit and MEMS<br />

device together on the same chip.<br />

We have considered to use this CMOS-MEMS technology<br />

to fabricate a condenser microphone for the audible frequency<br />

range. Different MEMS microphones have been developed by<br />

different groups and some designs, using dedicated technology<br />

process, have been commercialized until now. Most of the<br />

designs consist of a movable diaphragm and a perforated fixed<br />

electrode, called the backplate, separated by an air gap (see<br />

Fig. 1). Below the backplate, there is a back-chamber that<br />

makes the evacuation of air from the air gap easier.<br />

Fig. 1. Schematic structure of a conventional MEMS condenser microphone.<br />

In this work, we have studied and developed a model of a<br />

condenser microphone with a different structure. Our<br />

microphone structure does not contain a back-chamber, and is<br />

composed of a movable perforated electrode and a fixed<br />

electrode (without holes), separated by an air gap. This kind of<br />

structure allows using of a standard CMOS process with only<br />

one additional post-process step to etch sacrificial layers to<br />

realize the MEMS device. Similar microphone structure with<br />

perforated movable electrode was recently described in [7]. In<br />

this article, a specific dedicated technology was used to create<br />

an aluminum diaphragm, and the modeling does not take into<br />

account the air gap effect that is very important for the<br />

frequency response of the microphone.<br />

The paper is structured as follows. In Section 2, we will<br />

describe the microphone structure and propose an equivalent<br />

circuit model with lumped-parameters for the microphone<br />

modeling taking into account the effect of etch holes on the<br />

microphone behavior. In Section 3, simulations with<br />

CoventorWare, commercial FEA simulation software for<br />

MEMS, are performed in order to determine different<br />

parameters of the equivalent circuit and to estimate the<br />

microphone performance. Next, Section 4 will present the<br />

fabrication using AMS 0.35 µm CMOS standard process.<br />

Finally, Section 5 will provide some conclusions and<br />

directions on our future research.<br />

II. MICROPHONE STRUCTURE<br />

The microphone is fabricated with the AMS 0.35 µm<br />

CMOS back-end process resulting in a passivation layer, four<br />

metal layers, three via layers and several silicon dioxide<br />

layers. In our design, we can create the diaphragm and the<br />

backplate of the microphone with metal layers, the air gap<br />

between the electrodes can be realized by etching the<br />

sacrificial silicon dioxide layer through small holes in the<br />

309<br />

ISBN:978-2-35500-013-3


microphone diaphragm. The chosen CMOS technology<br />

imposes the vertical structure dimensions i.e. the air gap and<br />

the diaphragm thicknesses. Fig. 2 shows different views of the<br />

microphone structure and the corresponding dimensions are<br />

listed in Table I.<br />

Fig. 2. Microphone cross-sectional view (a), top view of the diaphragm (b).<br />

TABLE I<br />

DIMENSIONS OF THE MICROPHONE ELEMENTS<br />

Elements<br />

Dimension (µm)<br />

Diaphragm side length (L mem) 500<br />

Diaphragm thickness (t mem) 1<br />

Arm length (L arm) 71<br />

Arm width (W arm) 141<br />

Big hole side length (L hole1) 5<br />

Big hole pitch (Pitch1) 10<br />

Small hole side length (L hole2) 1<br />

Small hole pitch (Pitch2) 5<br />

Air gap thickness (h a) 2.64<br />

We have considered a diaphragm supported by four beams<br />

anchored by the oxide layer in order to obtain an optimum<br />

stiffness and thus acceptable sensitivity. The air gap thickness<br />

that was taken into account in this design corresponds to the<br />

distance between the metal layers M4 and M2 of the CMOS<br />

process. Two sets of holes are designed in the microphone<br />

diaphragm. Small holes with the sides of 1 µm are densely<br />

distributed on the diaphragm surface with the aim to allow fast<br />

sacrificial layer etching. Larger holes with the sides of 5 µm<br />

disposed on the diaphragm have the important role of<br />

controlling the diaphragm damping and their dimensions were<br />

optimized in order to obtain flat frequency response close the<br />

resonance.<br />

The microphone must work in the audible frequency range<br />

from 20 Hz to 20 kHz. The required capacitance variation of<br />

the microphone was obtained through the analysis of the<br />

expected noise of the electronic circuit. For a minimum signalto-noise<br />

ratio of 40 dB, the microphone capacitance variation<br />

must be at least 60 fF.<br />

In this section, we will describe the microphone equivalent<br />

circuit with lumped parameters. We present the effect of holes<br />

on the mechanical parameters and on the electrostatic field<br />

distribution, as well as their influence on the mechanical<br />

damping.<br />

A. Equivalent circuit<br />

Lumped parameters equivalent circuit (Fig. 3) is used to<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

study the frequency response of the microphone. An analogy<br />

between the acoustic, mechanical, fluidic and electrical<br />

domains is used to build the equivalent circuit.<br />

To characterize the mechanical and fluidic part behavior of<br />

the MEMS microphone, an equivalent spring-mass-damper<br />

system under harmonic excitation is considered. The total<br />

stiffness of the system is given by the rigidity of the<br />

diaphragm and the spring effect of the air gap, k mem and k airgap<br />

respectively. The resistance R airgap represents the damping<br />

caused by the viscous losses in the air gap and M mem is the<br />

effective diaphragm mass.<br />

In the acoustic domain, a sound pressure (P g ) is applied to<br />

the diaphragm through the radiation impedance composed of<br />

the radiation resistance R rad , representing the frictional force,<br />

and by the radiation mass M rad , representing the mass of the air<br />

close to the diaphragm that is vibrating in phase with the plate.<br />

In the electrical domain, the capacitance of the microphone<br />

is represented by C 0 and the parasitic capacitance, due to the<br />

electric field in the oxide (anchor of the microphone) is C p .<br />

The link between the acoustic and mechanical domain is<br />

modeled by the mechano-acoustic transformer with the ratio<br />

A mem , representing the diaphragm area. The second transformer<br />

represents the coupling between electrical and mechanical<br />

domain with the ratio Γ.<br />

Fig. 3. Microphone equivalent circuit.<br />

The following paragraphs discuss the different parameters<br />

of the equivalent circuit taking into account the effect of etch<br />

holes.<br />

B. Mechanical behavior with holes<br />

The analytic description of the microphone mechanical<br />

behavior is quite complex and difficult to solve because of the<br />

diaphragm geometry (arms, holes). For this reason, an<br />

approach using finite element simulations and reduced<br />

elements approximation is used. Indeed, we can determine the<br />

mechanical parameters of the equivalent circuit that represents<br />

the diaphragm, namely its spring coefficient k mem and effective<br />

mass M mem , with FEA performed in CoventorWare and<br />

consider the diaphragm as a spring-mass system governed by<br />

the well-known relations:<br />

mem==<br />

maxwkP (1) AF<br />

kmem<br />

=f<br />

(2)<br />

0<br />

M<br />

mem<br />

Simulations can calculate the resonant frequency (f 0 ) and the<br />

maximum displacement (w max ) of the structure when we<br />

applied a uniform force F corresponding to a pressure P on the<br />

diaphragm. From w max and knowing the diaphragm area (A),<br />

we can calculate the spring coefficient of the diaphragm with<br />

(1). From the resonant frequency and the calculated spring<br />

310<br />

ISBN:978-2-35500-013-3


coefficient, we can calculate the effective mass of the<br />

diaphragm with (2).<br />

However, the mechanical properties, namely the effective<br />

Young’s modulus and the internal stress of a MEMS structure,<br />

are influenced by its perforation [8]. We have performed<br />

estimations of this effect and confirmed with CoventorWare<br />

the results of [9] showing the stress concentration in the<br />

proximity of holes (see Fig. 4). Simulations for several<br />

diaphragms with different holes configurations have shown<br />

that the resonant frequency for a perforated diaphragm<br />

(f 0WithHole ) can be approximately estimated with the following<br />

relation:<br />

f 0WithoutHole<br />

A<br />

A<br />

WithHole<br />

WithoutHole<br />

≤ f 0WithHole ≤ f 0WithoutHole (3)<br />

where A WithHole is the perforated diaphragm area, A WithoutHole is<br />

the entire diaphragm area and f 0WithoutHole is the resonant<br />

frequency of the diaphragm without holes. According to these<br />

different observations, we have to consider the etch holes in<br />

the calculation of k mem and M mem .<br />

Fig. 4. Simulation showing the stress on the diaphragm with holes.<br />

The simulations of the microphone were performed on the<br />

quarter of the structure using symmetry plane conditions<br />

(Fig. 5) because of the high number of etch holes (several<br />

thousands) that demands intensive computational resources .<br />

CoventorWare calculates the resonant frequency and the<br />

maximum displacement of the structure, and with (1) and (2),<br />

we can calculate the spring coefficient k mem and the effective<br />

mass M mem of the perforated diaphragm (Table II).<br />

Fig. 5. Simulation structure: quarter model of the microphone using symmetry<br />

planes.<br />

TABLE II<br />

MICROPHONE MECHANICAL PARAMETERS<br />

Simulation results Calculated parameters<br />

f 0 = 13491 Hz k mem = 3.8 N/m<br />

w max = 88 nm at 1 Pa M mem = 5.3x10 -10 kg<br />

It can be noticed that the resonant frequency of the<br />

perforated structure obtained from the FEA (13491 Hz)<br />

corresponds to the relation (3).<br />

C. Air gap modeling<br />

When the diaphragm oscillates normally to the backplate,<br />

the air gap between the diaphragm and the backplate is<br />

squeezed causing a lateral fluid motion in the gap. Due to the<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

viscous flow of air, pressure in the air gap changes and creates<br />

forces against the diaphragm movement. This phenomenon<br />

called squeeze film damping is accompanied with two kinds of<br />

forces. One is the damping force, caused by the viscous flow<br />

of air, and the other is the elastic force due to the compression<br />

of the air gap. The squeeze film can be described by the<br />

Navier-Stokes and Reynolds equations taking into account<br />

some effects that are specific to the small film dimensions,<br />

like air rarefaction, compressibility and inertia effects, and air<br />

flow through the holes of a perforated plate. The squeeze film<br />

damping is very important for the microphone operation, in<br />

particular in the high frequencies, in the vicinity of its<br />

resonance [8].<br />

The air gap stiffness k airgap and the damping R airgap are<br />

proportional to the elastic and damping forces respectively.<br />

Several analytic models have been proposed to calculate the<br />

elastic and damping forces and so the corresponding elements<br />

of the model ([10]-[14]). These models take into account<br />

rarefaction, compressibility and inertia effects as well as the<br />

effect of etch holes and are a helpful alternative to the<br />

microphone FEM simulations that can have constraints in<br />

computational requirements.<br />

Although it exists several similar models, we have decided<br />

to choose the model described in [13]. This model provides<br />

relations for damping force (F damp ) and stiffness force for<br />

perforated plate taking into account rarefaction,<br />

compressibility effects and also inertia effects (F stiff+iner ).<br />

2<br />

Fdamp { I=<br />

net<br />

}<br />

a 0)(rPF (4)<br />

{ }<br />

2<br />

Fstiff + iner<br />

R=<br />

net a 0)(rPF (5)<br />

where P a is the atmospheric pressure, r 0 is the outer radius of a<br />

pressure cell (proportional to the pitch), F net is the real<br />

complex force acting on the diaphragm due to the squeeze film<br />

given by the following expression:<br />

sq<br />

sq2<br />

F net = F sq1 + F sq2 + F h (6)<br />

⎡ 2Ri<br />

[<br />

i<br />

i<br />

)j() ] ⎤<br />

1 1<br />

1<br />

1<br />

ΓΓ−<br />

2 jτ KRj(I)<br />

π=F ξ<br />

01 ⎢<br />

1−−<br />

i ⎥e)R(<br />

(7)<br />

⎢⎣<br />

jΓ<br />

0 i 1<br />

1<br />

0<br />

ΓΓΓΓ<br />

i<br />

)Rj() ⎥⎦<br />

Kj(I+<br />

⎡ 2R [<br />

i<br />

i<br />

)j() ] ⎤<br />

i 1<br />

1<br />

1<br />

Γ−ΓΓ<br />

1<br />

jτ (8) KRj<br />

π=F Φ<br />

b ⎢<br />

⎥e<br />

⎢⎣<br />

jΓ<br />

0<br />

Γ<br />

i 1<br />

Γ<br />

1<br />

0<br />

ΓΓ<br />

i<br />

)Rj() ⎥⎦<br />

Kj<br />

h<br />

jτ<br />

[ π ]<br />

2<br />

)(<br />

Φ=<br />

eRF<br />

(9)<br />

bi<br />

where F sq = F sq1 + F sq2 is the complex force due to the<br />

squeeze-film, F h is the force acting on the hole surface, ξ o is<br />

the non-dimensional amplitude, Ф b is the non-dimensional<br />

pressure at the hole/air gap interface, Г is a non-dimensional<br />

complex number that includes the compressibility, inertia and<br />

gas rarefaction effects, R i and R 0 are respectively the nondimensional<br />

inner and outer radii of a pressure cell, I n is the<br />

Modified Bessel function of n th order, K n is the Macdonald’s<br />

function of n th order and τ is the non-dimensional time.<br />

Knowing that F damp and F stiff.+iner. are respectively the<br />

imaginary and real parts of F net , we can calculate the air gap<br />

stiffness k airgap and the damping R airgap .<br />

In order to respect the requirements on the microphone<br />

performance, we must achieve a negligible spring effect and<br />

low damping due to the air gap. The size of the holes and the<br />

pitch have been chosen taking into account the etch time, low<br />

311


11-13 <br />

May 2011, Aix-en-Provence, France<br />

damping and negligible spring effect in the frequency range of<br />

<br />

Air gap spring coefficient<br />

interest. So, a non-staggered configuration with 5 x 5 µm²<br />

The air gap spring coefficient, k airgap , can be calculated<br />

square holes and 10 µm pitch is used.<br />

from (5):<br />

Air gap damping coefficient<br />

Fstiff<br />

+ iner<br />

k<br />

airgap<br />

= (11)<br />

The damping coefficient, R airgap , can be determined from<br />

ha<br />

(4):<br />

In a similar way, we compare FEM and lumped-model<br />

Fdamp<br />

results for various configurations, but there were important<br />

R<br />

airgap<br />

= (10)<br />

h<br />

errors (more than 80%). Even if this model is not accurate<br />

aω<br />

enough to calculate the air gap spring coefficient, according to<br />

First, we compare FEM and lumped-model results for<br />

the simulation result for the microphone case, in the audio<br />

various configurations. For simulations, we use CoventorWare<br />

frequency range, the air gap spring coefficient,<br />

which provides the damping force and coefficient for squeezefilm.<br />

Next, we apply the lumped-model on the chosen<br />

k airgap = 0.006 N/m (at 20 kHz), is very low comparing to the<br />

diaphragm spring coefficient k mem (Table II). Therefore, the air<br />

configuration. Considering possible device applications,<br />

gap stiffness can be neglected. Indeed, according to the<br />

simulations were performed up to 100 kHz.<br />

obtained air gap spring value, we can suppose a condition of<br />

Table III shows the air gap damping coefficient error<br />

incompressible fluid. This can be also confirmed by the<br />

between the FEM and the lumped-model results for different<br />

squeeze number σ estimation, which characterizes the<br />

diaphragm sizes and thus for different number of holes. We<br />

compressibility effect for a perforated diaphragm:<br />

have compared several diaphragm configurations. In this table,<br />

2<br />

12 μω r0<br />

the air gap value similar to that of the designed microphone<br />

σ = (12)<br />

2<br />

was fixed and we have used the non-staggered (matrix) hole<br />

hP<br />

aa<br />

configuration with 10 µm pitch for each diaphragm.<br />

TABLE III<br />

MODEL/SIMULATION ERRORS<br />

Diaphragm size Damping coefficient (kg/s)<br />

Air gap (µm²) (number<br />

Error (%)<br />

Simulation Analytical<br />

of holes)<br />

100x100 (100) 2.1x10 -6 2.6x10 -6 22.2<br />

200x200 (400) 9.4x10 -6 1.0x10 -6 10.7<br />

300x300 (900) 2.1x10 -5 2.3x10 -5 7.2<br />

2 µm 400x400 (1600) 3.9x10 -5 4.1x10 -5 5.6<br />

500x500 (2500) 6.2x10 -5 6.5x10 -5 4.6<br />

600x600 (3600) 9.0x10 -5 9.3x10 -5 3.9<br />

700x700 (4900) 1.2x10 -4 1.2x10 -4 3.5<br />

In the considered frequency range, the damping coefficient<br />

R airgap is constant and the agreement between simulated and<br />

calculated values varies from 22 % to 3.5 %.<br />

We have found similar results when using the analytical<br />

model of the squeeze-film for the microphone as when<br />

performing the FEA with CoventorWare. Fig. 6 shows the<br />

damping force simulated with CoventorWare and using (10).<br />

We have obtained R airgap = 5.4x10 -5 kg/s, which is within 10 %<br />

of the simulated value (6.1x10 -5 kg/s).<br />

Where μ is the fluid viscosity, h a is the air gap thickness and ω<br />

is the pulsation. If σ


A. Quasi-Static response<br />

In order to judge the microphone performance, we need to<br />

estimate the capacity variation ΔC induced by a known<br />

pressure. Supposing that we know the microphone frequency<br />

characteristics, we can do this estimation for DC values. The<br />

estimation of ΔC was done in three approaches. In all of them,<br />

we have compared the microphone capacity without a pressure<br />

with that with a pressure of 1 Pa applied on the diaphragm.<br />

The results obtained in the three cases are shown in Table IV.<br />

For the first approximation, we have used a non-perforated<br />

diaphragm (Fig. 7 (a)) because the electromechanical FEM<br />

simulations of the microphone with a perforated diaphragm<br />

have important computational time requirements, even if<br />

symmetry is assumed and a quarter of the model is simulated.<br />

Based on the predicted value of the pull-in voltage, V PI ,<br />

given by CoventorWare that is between 4.4 V and 4.5 V, we<br />

have decided to use the bias voltage V 0 of 1V. The<br />

corresponding capacitance variation is in Table IV (“FEM”<br />

line)<br />

We can verify these simulation results using an analytic<br />

approach. Indeed, if we consider just the maximum<br />

displacement of the diaphragm, we have the static equation:<br />

2<br />

k mem w max = F pressure + F electrostatic = PA +<br />

ε<br />

00<br />

AV<br />

−<br />

(14)<br />

2<br />

a max<br />

)<br />

And when P = 0 Pa, we have:<br />

max<br />

a<br />

P is the pressure acting on the diaphragm, A is the area of the<br />

diaphragm, V 0 is the bias voltage and B is a correction<br />

coefficient respecting the diaphragm deformation shape<br />

(Fig. 7 (b)). The pull-in effect occurs when the term on the left<br />

side of (15) reaches a maximum:<br />

∂<br />

∂w<br />

max<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

2<br />

ha<br />

[ B ] =−<br />

0)(<br />

whw<br />

w =<br />

max<br />

When w max = w Pull-in , V<br />

leads to<br />

a max<br />

Pull−in<br />

=<br />

pull<br />

3<br />

8<br />

memhk<br />

a<br />

27ε<br />

BA<br />

0<br />

(16)<br />

− in<br />

3B<br />

(17)<br />

Thanks to (17) and knowing the pull-in voltage by FEM<br />

simulations we can calculate the correction coefficient:<br />

B = 0.385. Once B is calculated, we can solve (14) with the<br />

Cardan method and then calculate the capacitance variation.<br />

Results are summarized in Table IV (“calculation (nonperforated<br />

diaphragm)” line).<br />

simulation. We can see that the calculation and simulation<br />

results are very close. There is a small capacitance deviation is<br />

due to the parasitic capacitance that is taken into account in<br />

the FEM simulation and is not accounted in the analytic<br />

model.<br />

Now, we applied this model for the perforated diaphragm<br />

considering the same value of B that was obtained for the nonperforated<br />

diaphragm. The pull-in voltage is V PI = 4.24 V and<br />

Table IV shows the variation capacitance (“Calculation<br />

(perforated diaphragm)” line).<br />

TABLE IV<br />

CAPACITANCE VARIATION<br />

Approach Conditions w max (nm)<br />

Capacitance<br />

(pF)<br />

FEM<br />

P = 0 Pa<br />

V 0 = 1 V<br />

V 0 = 1 V<br />

53 2.123<br />

P = 1 Pa<br />

133 2.140<br />

V 0 = 1 V<br />

Calculation P = 0 Pa<br />

(nonperforated<br />

51 1.361<br />

P =1 Pa<br />

diaphragm V 0 = 1 V<br />

131 1.378<br />

Calculation<br />

(perforated<br />

diaphragm)<br />

P = 0 Pa<br />

V 0 = 1 V<br />

P = 1 Pa<br />

V 0 = 1 V<br />

57 1.151<br />

147 1.167<br />

ΔC (fF)<br />

(2 B wh<br />

According to the model, the obtained capacitance variation<br />

is very similar as in the non-perforated case. This fact can be<br />

explained either by the estimated value of the correction<br />

2<br />

2 ε<br />

00<br />

AV coefficient B or by a compensation of the decreased stiffness<br />

B<br />

max<br />

)(<br />

=−(15)<br />

whw by a smaller area of a perforated diaphragm.<br />

2kmem<br />

B. Dynamic response<br />

We have used the microphone equivalent circuit, shown in<br />

Fig. 3, to predict the dynamic response of the microphone. The<br />

microphone dimensions considered in the simulations are<br />

shown in Table I. Fig. 8 shows the frequency range and the<br />

open circuit sensitivity of the microphone.<br />

17<br />

17<br />

16<br />

(a)<br />

(b)<br />

Fig. 7. Microphone structure used for simulation (a). Schematic effective<br />

displacement (b).<br />

Fig. 8. Simulated frequency range and open circuit sensitivity of the<br />

microphone.<br />

Fig. 9 shows the spectral density of the output noise voltage.<br />

From the spectral density, we can calculate the signal-to-noise<br />

ratio (SNR) of the considered microphone. The basic<br />

microphone characteristics are summarized in Table V.<br />

Note that k mem and A have been determined for the nonperforated<br />

diaphragm to have the same conditions as for the<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

313


TABLE V<br />

MICROPHONE CHARACTERISTICS<br />

Characteristics Value<br />

Resonant frequency 10 kHz<br />

Open circuit sensitivity -35 dB.V/Pa<br />

SNR<br />

68 dB<br />

Fig. 9. Simulated spectral density of the output noise voltage.<br />

IV. MICROPHONE FABRICATION<br />

The fabrication of the microphone is based on the AMS<br />

0.35 µm CMOS back-end process that encompass a<br />

passivation layer, four metal layers, three via layers and<br />

several silicon dioxide layers. The metal layers used for the<br />

microphone is M4 for the diaphragm and M2 for the fixed<br />

electrode. The silicon dioxide layer between M4 and M2 is a<br />

sacrificial layer which is removed by HF vapor etching.<br />

Fig. 10 shows the microphone before etching.<br />

Fig. 10. Microphone fabricated with the AMS 0.35 µm CMOS process<br />

before etching.<br />

V. CONCLUSIONS<br />

In this paper, we have proposed a simple model of a MEMS<br />

capacitive microphone to estimate its characteristics for audio<br />

applications. This lumped-parameters reduced model can still<br />

be improved, but it is useful for initial estimations.<br />

The structure of the microphone has been fabricated with a<br />

standard CMOS process (AMS 0.35 µm). A sacrificial etch of<br />

the silicon dioxide layers will be done in the near future to<br />

obtain the working microphone. If successful, it will be<br />

possible to integrate on the same chip the MEMS capacitive<br />

microphone and the electronic circuit.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

REFERENCES<br />

[1] Ristic, L., “CMOS technology: a base for micromachining”,<br />

Microelectronics Journal, Vol. 20, No. 1-2, 1989, pp. 153-169.<br />

[2] Parameswaran, M., Baltes, H. P., Ristic, L., Dhaded, A. C., and<br />

Robinson, A. M. “A new approach for the fabrication of micromechanical<br />

structures”, Sensors and Actuators A, Vol. 19, No. 3, 1989, pp. 289-307.<br />

[3] Rufer, L., Domingues, C., Mir S., Petrini, V., Jeannot, J.-C., Delobelle, P.,<br />

“A CMOS compatible ultrasonic transducer fabricated with deep reactive ion<br />

etching”, IEEE Journal of Microelectromechanical Systems, Vol. 15, No. 6,<br />

2006, pp. 1766-1776.<br />

[4] Maillya, F., Giani, A., Bonnota, R., Temple-Boyerb, P., Pascal-<br />

Delannoya, F., Foucarana, A. and Boyer, A., “Anemometer with hot platinum<br />

thin film”, Sensors and Actuators A, Vol. 94, No. 1-2, October 2001, pp. 32-<br />

38.<br />

[5] Fouladi, S., Bakri-Kassem, M., Mansour, R.R., “An Integrated Tunable<br />

Band-Pass Filter Using MEMS Parallel-Plate Variable Capacitors<br />

Implemented with 0.35 μm CMOS Technology”, IEEE/MTT-S International<br />

Microwave Symposium, 2007, pp. 505-508, 3-8 June 2007.<br />

[6] Dai, C. L., “A maskless wet etching silicon dioxide post CMOS process<br />

and its application”, Microelectronic Engineering, Vol. 83, 2006, pp. 2543-<br />

2550.<br />

[7] Ganji, B.A., Majlis, B.Y;, “Design and fabrication of a new MEMS<br />

capacitive microphone using perforated diaphragm”, Sensors and Actuators A,<br />

Vol. 149, 2009, pp. 29-37.<br />

[8] Rabinovich, V. L., Gupta, R. K. and Senturia, S. D., “The effect of<br />

Release-Etch Holes on the Electromechanical Behavior of MEMS structures”,<br />

International Conference on International Solid State Sensors and Actuators<br />

Conference, Vol. 2, June 1997, pp. 1125-1128.<br />

[9] Sharpe, W. N. Jr., Vaidyanathan, R., Yuan, B., Bao, G.. and Edwards, R.<br />

L., “Effect of etch holes on the mechanical properties of polysilicon” Journal<br />

of Vacuum Science & Technology B (Microelectronics and Nanometer<br />

Structures), Vol. 15, Sep 1997, pp. 1599-1603.<br />

[10] Bao, M., Yang, H., “Squeeze film air damping in MEMS”, Sensors and<br />

Actuators, Vol. 136, 2007, pp. 3-27.<br />

[11] Veijola, T., “Compact model for a MEM perforation cell with viscous,<br />

spring and inertial forces”, Microfluid Nanofluid, 2009, Vol.6, pp. 203-219.<br />

[12] Mohite, S. S., Kesari, H., Sonti, V. R., and Pratap, R., “Analytical<br />

solutions for the stiffness and damping coefficients of squeeze films in MEMS<br />

devices with perforated backplates”, Journal of Micromechanics and<br />

Microengineering, Vol. 15, 2005, pp. 2083-2092.<br />

[13] Mohite, S. S., Venkata, R., Sonti, V. R. and Pratap, R., “A compact<br />

Squeeze-Film Model Including Inertia, Compressibility, and Rarefaction<br />

Effects for perforated 3-D MEMS Structures”, Journal of<br />

Microelectromechanical systems, Vol. 17, No. 3, June 2008, pp. 709-723.<br />

[14] Homentcovschi, D. and Miles, R. N., “Analitycal model for viscous<br />

damping and the spring force for perforated planar microstructures acting at<br />

both audible and ultrasonic frequencies”, Journal of the Acoustical Society of<br />

America, Vol. 124, July 2008, pp. 175-181.<br />

[15] Bendali, A., Labedan, R., Dominique, F., Nerguizian, V., “Hole effects<br />

on RF MEMS Parallel Diaphragms Capacitors”, Canadian Conference on<br />

Electrical and Computer Engineering 2006, May 2006, pp. 2140-2143.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/DTIP 2011<br />

<br />

314<br />

ISBN:978-2-35500-013-3


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A Novel Integrated Solution for the Control and<br />

Diagnosis of Electrostatic MEMS Switches<br />

Carlo Trigona, Norbert Dumas, Laurent Latorre and Pascal Nouet<br />

LIRMM, University Montpellier II / CNRS<br />

161 rue Ada - 34095 Montpellier Cedex 5, France<br />

Abstract- The aim of this paper is to present a novel integrated<br />

solution for the control and diagnosis of electrostatic MEMS<br />

switches. A custom multi-channel integrated circuit (ASIC)<br />

has been designed and fabricated adopting a standard High-<br />

Voltage (HV) CMOS technology with a maximum operating<br />

voltage of 50V. Each channel is composed of a HV driver to<br />

actuate electrostatic switches and a diagnosis element to<br />

monitor the movement of the beam. This control circuit is<br />

particularly interesting for systems based on a large array of<br />

MEMS switches with a certain level of redundancy or fault<br />

tolerance, an active reflect array antenna in our case. The<br />

diagnosis principle has been modeled, simulated and an<br />

experimental campaign validates the principle with real<br />

actuators.<br />

I. INTRODUCTION<br />

During the past decade, RF Micro Electro Mechanical<br />

Systems (RF-MEMS) have been reported in several areas of<br />

engineering and science. The fabrication of MEMS for RF<br />

integrated circuits has seen a rapid rate of expansion within<br />

a broad range of applications such as: resonators [1],<br />

tunable filters [2], radar sensors [3] array, reconfigurable<br />

antennas [4] and RF switches [5].<br />

In particular, reflect array antennas based on phase<br />

shifters to tune the reflecting angle of a wave have attracted<br />

particular interest for applications such as communication<br />

satellite. Main advantages are their small size, high<br />

performance, reduced power consumption and lightness.<br />

Such communication systems are composed of thousands of<br />

RF switches embedded into a single panel and<br />

electrostatically actuated, although magnetic, thermal or<br />

even gas-based forces are alternative solutions to move<br />

micromachined structures. Due to the large number of<br />

control signals (one for each actuators), control architecture<br />

must be embedded in the panel. Basically, the adoption of a<br />

distributed network of ASIC devices presents several<br />

advantages such as small overall dimension, integration<br />

with the phase-shift panel, short distance from the RF<br />

switch to the control driver and reduced interconnection<br />

complexity within the panel.<br />

Due to the high number of RF switches, the phase-shift<br />

panel implements a certain degree of redundancy (i.e.<br />

achieving the same phase shift with various combination of<br />

switches) [6]. Fault-tolerance can then be easily<br />

implemented by monitoring the state of each RF MEMS,<br />

detecting non-working MEMS and configuring the panel<br />

accordingly.<br />

Several diagnostic approaches have been considered:<br />

optical monitoring [7], electromagnetic [8], resistive [9], or<br />

capacitive sensing [10]. These approaches imply complex<br />

diagnosis principles, additive sensing elements and/or<br />

parasitic-capacitance dependent solutions.<br />

The presented approach allows on-line testing of MEMS<br />

switches and exhibits several attractive features:<br />

• fully integrated within the driver,<br />

• active during each pull-in and pull-off events,<br />

• tolerant to large parasitic capacitances.<br />

The two last points are the real innovation of this driver<br />

compared to previously proposed drivers by the same<br />

authors [11]. The diagnosis circuitry can cope with large<br />

parasitic capacitances and can also detect the MEMS<br />

switch-off.<br />

This paper first reports the working principle of the<br />

proposed smart driver with a particular emphasis on<br />

diagnosis. Design and simulation are reported in a second<br />

section while experimental results finally demonstrate that<br />

we can detect both pull-in and pull-off events of the<br />

actuated beam thus demonstrating the suitability of the<br />

proposed solution.<br />

II.<br />

WORKING PRINCIPLE<br />

The diagnosis principle has been derived from an<br />

external test solution proposed in [12]. Here, we propose to<br />

associate an integrated diagnosis unit to each control<br />

channel. It is then composed with a control unit itself and an<br />

add-on circuitry for diagnosis (Figure 1).<br />

n = 10<br />

n∙I act<br />

VDDA<br />

Diagnostic unit<br />

VDDA<br />

n∙I act<br />

I discharge C ramp<br />

Diag1 Diag2<br />

VDD_HV<br />

I1 V th1<br />

I2 V th2<br />

I charge<br />

R1<br />

C int<br />

V ref<br />

Buffer OUT Control unit<br />

IN<br />

I act R s<br />

MEMS<br />

C act<br />

Fig. 1. Schematic diagram of a control channel with embedded diagnosis.<br />

C p<br />

315


11-13 <br />

May 2011, Aix-en-Provence, France<br />

The basic idea consists to use a slow ramp-shaped signal<br />

<br />

III. DESIGN AND SIMULATIONS<br />

to control the electrostatic actuator and to analyze the so-<br />

by the driver to<br />

obtained actuation current (I act ) deliveredd<br />

Smart drivers have been designed and fabricated using a<br />

the actuator. To generate the driver HV control signal, the HV 0.35µm CMOS technology<br />

from Austria MicroSystems<br />

digital input (IN) is used to control the charge and discharge<br />

(AMS). This process tolerates voltage drop across transistor<br />

of an integrated capacitance (C ramp ) with two constant<br />

channels (V DS ) up to 50V. Figure 3 (respectively 4) shows the<br />

current generators (I charge and I discharge ). As a result, the<br />

layout (resp. an image) of a control channel. Zone (1)<br />

current buffer output (OUT) delivers a HV signal with a<br />

represents the current source of the ramp module, (2) is a<br />

constant voltage slope. The load is represented by the<br />

current mirror copying a fraction of the current from the<br />

MEMS device, that can be assumed to be a variable source and the circuit to control<br />

the sign of the ramp (rise or<br />

capacitance (C act ), the parasitic contribution which is<br />

fall), (3) is the integrating MIM capacitor, C ramp, used to<br />

capacitive (C p ), and a serial resistance (R s s) coming from the<br />

generate a positive or negative voltage ramp, (4) is the current<br />

routing or wire bonding. It is worth noting that both<br />

source for common drain buffer (5), (6) and (7) are the NMOS<br />

capacitors are connected in parallel and that the parasitic<br />

and PMOS mirror respectively which copy and amplify the<br />

capacitance is large compared to the actuator capacitance. actuation current for the diagnosis, while (8) are the voltage<br />

The diagnosis section copies the actuation current limiters that prevent V I1 and V I2 to go beyond the low voltage<br />

through a serial resistance (R 1 ) to obtain a voltage drop<br />

supply (V DDA = 3.3V). Both rise and fall slopes of the ramp<br />

proportional to the actuation current:<br />

generator can be adjusted thanks<br />

to a pair of external resistors<br />

to allow a parametric study.<br />

V<br />

∂Vact<br />

= n ⋅ R1<br />

⋅ I<br />

act<br />

= n ⋅ R1<br />

⋅ C<br />

p<br />

+ n ⋅ R ⋅V<br />

∂t<br />

I1<br />

1<br />

actt<br />

∂<br />

∂t<br />

C act<br />

= α + β<br />

(1)<br />

This voltage is composed of two terms; the first one (α)<br />

represents the contribution of the parasitic capacitance<br />

(assuming C act is small compared to C p p) and is constant<br />

during the charge (respectively the discharge) of the<br />

actuator, while the second (β) is proportional to capacitance<br />

variations (assuming C p is bias-independent). The latter<br />

term is null except during pull-in or pull-off events. Both<br />

events correspond to a rapid change in the capacitance thus<br />

producing a current peak. Finally, if the ramp is sufficiently<br />

slow, pull-in and pull-off current spikes can be identified<br />

out of the constant current contribution due to α. Finally, a<br />

comparator is used to detect the spikes and to integrate the<br />

actuation current (with capacitor C int ) for quantitative<br />

evaluations. It allows measuring the total variation of<br />

capacitance during the pull-in or the pull-ofoutput). Figure 2 illustrates the main signals: the absence of<br />

events (Diag2<br />

the pull-in and pull-off spikes implies a non-working<br />

MEMS condition. From this diagnostic<br />

“go-nogo”<br />

information (Diag1 output) and the knownn previous state of<br />

the switch the new state of the beam can be deduced. In this<br />

paper, we concentrate on the analysis of the voltage drop in<br />

R 1 (I 1 signal).<br />

(7)<br />

(5)<br />

(2)<br />

(1) (1) (4)<br />

(8)<br />

(3)<br />

(6) (7)<br />

Fig. 3. Layout of a smart-driver channel with a 760x550 µm 2 area occupied<br />

in a HV 0.35µm CMOS technology.<br />

Fig. 2. Main signals of the diagnosis unit: ramp-shaped actuation voltage<br />

V act and actuation current image I 1. For a functional beam, two spikes can<br />

be discriminated through the comparison thresholds.<br />

Fig. 4. Microscope image<br />

of a smart driver channel.<br />

<br />

<br />

316


A rise time of 250ms and a fall time of 500ms are<br />

achievable using 5MΩ resistors. To reduce the total size of the<br />

driver array, the current sources (1) and (4) can be shared<br />

between several drivers. In the fabricated prototype, R 1 , C int and<br />

the comparators for diagnosis are not integrated on-chip to<br />

allow more flexibility. The value of R 1 can vary from 10kΩ to<br />

2MΩ depending on the time response and the capacitance of<br />

the monitored electrostatic actuator. Integration of these<br />

components would not induce a significant area overhead. The<br />

value of C int should be calculated such as: C int· V I2 =<br />

∆C act·n·V act . Considering an actuation voltage of 50V and<br />

expecting a final voltage V I2 = 1V results in an integration<br />

capacitance 500 times higher than the variation of capacitance<br />

to observe, ∆C act . Therefore the capacitance value is typically<br />

in the order of a few tens of picofarads and could be more<br />

problematic to integrate. However, the integration is not<br />

necessary to obtain a binary go-nogo diagnosis. Alternatively<br />

the factor n could be reduced to adapt this solution to the<br />

MEMS switch under test. The static current consumption is<br />

relatively low and makes this driving and diagnosis solution<br />

suitable for controlling a large number of MEMS. It is mainly<br />

due to the current source that biases the common drain buffer<br />

and which consumes 2×366nA at 50V. If the source is shared<br />

by several buffers it reduces the overall consumption per<br />

channel. This small current consumption implies a limitation of<br />

366nA in terms of maximal current that can be sink or source<br />

from the current buffer and an equivalent output resistance of<br />

250kΩ. It should be noted at this point that this output<br />

resistance will have an influence on the cut-off frequency due<br />

to the parasitic capacitance C p . Assuming C p = 1pF, it results in<br />

a cut-off frequency of 640kHz.<br />

The MEMS switch that will be considered in the following<br />

is a gold cantilever beam based on a custom technology with a<br />

pull-in voltage up to 44V [13]. It has been simulated using the<br />

library of beam model provided by CoventorWare ® . Figure 5<br />

illustrates the behavior of the switch when a voltage is applied<br />

on the bottom actuation electrode. A first pull-in occurs<br />

around 29V while a second one occurs around 31V. After the<br />

first pull-in, the beam touches the signal electrode and the<br />

switch is considered to be in the ON-state. The variation of the<br />

actuation capacitance due to this pull-in is in the order of<br />

170fF. The second pull-in, which is not desired, produces a<br />

much larger variation of capacitance (> 6pF) and thus can be<br />

easily experimentally observed as we will see later-on.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

IV. EXPERIMENTAL RESULTS<br />

The diagnosis approach is investigated and validated in this<br />

section. The designed ASIC and the embedded diagnostic<br />

architecture has been bonded on a test board, as shown in<br />

figure 6. It includes two MEMS prototypes, a switch selector<br />

and resistors R S and R 1 .<br />

It must be noted that for an easy observation of the signal, a<br />

value of 1.2MΩ has been chosen for the resistance R 1 , while<br />

the serial resistance connected with the MEMS (R S )<br />

corresponds to 1kΩ. The high voltage supply is set to the<br />

maximal value 50V. The ASIC prototype has been finally<br />

protected from light by using a cap.<br />

A square waveform having a frequency of 0.5Hz and a<br />

3.3V amplitude has been applied as an input signal while the<br />

measurement has been conducted observing the voltage V I1.<br />

During the experiment a noise level of about 120mV (attributed<br />

to the environment) has been recorded while a main spike<br />

saturating at about 2.4V has been measured as consequence of<br />

the beam movement (figure 7a). This value is due to the<br />

internal voltage limitation of the ASIC. This pull-in spike has<br />

been identified in presence of a working MEMS; a microscope<br />

analysis has been conducted to confirm the diagnosis.<br />

Furthermore, it disappears when the high voltage supply<br />

(V DD_HV ) is lower than 44V, indicating that the MEMS switch<br />

is no longer actuated when the voltage is too low. It should be<br />

noted that the time duration of the pull-in (i.e. the width of the<br />

spike) is about 200µs as previously observed in [11] for a<br />

similar switch. Comparing the response time of the switch to<br />

the cut-off frequency previously calculated in section III<br />

(640kHz), we can conclude that the bandwidth limitation is not<br />

an issue here.<br />

As expected this spike is easily detected by a simple<br />

comparator. Putting a resistor R 1 = 220kΩ reduces the<br />

amplitude of the spike and makes it possible to integrate the<br />

voltage in order to calculate the variation of capacitance. A<br />

value of 760fF is found instead of more than 6pF found with<br />

the simulation. Authors assume that this discrepancy is due, in<br />

order of importance, to the surface roughness of the oxide<br />

coating the electrode, and to the actual dimensions and the<br />

actual initial bending of the switch after fabrication. The<br />

simulation accuracy may also be important because of the large<br />

displacement of the beam and the difficulties to model contacts.<br />

Vact = 0V<br />

Vact = 29V<br />

Vact = 31V<br />

Fig. 5. Simulation of the beam actuation using CoventorWare ® . The z-<br />

dimensions have been multiplied by a factor 10. The unit of the<br />

displacement scale on the left is in micrometer.<br />

Fig. 6. Test board for RF switch actuation and diagnosis.<br />

317


Figure 7b shows the effect of a parasitic load C p = 10pF<br />

connected in parallel to the MEMS device. First, one can notice<br />

that the graph presents a two pull-in spikes, which confirms the<br />

physical simulation presented in section III, and only one pulloff<br />

spike. The presented waveform has been averaged over 32<br />

acquisitions to reduce the noise. It also strongly reduces the<br />

spikes amplitude because of the fluctuation of the pull-in or<br />

pull-off times and the triggering accuracy. Results evince the<br />

presence of spikes also with a large parasitic capacitance. The<br />

pull-in voltage measured are 36.5V for spike #1, 41.8V for<br />

spike #2 and the pull-off voltage is 19.2V (spike #3).<br />

Regarding the effect of the parasitic capacitance we can<br />

observe a stable deviation from the reference voltage, i.e.<br />

1.37V, during the ramp up or the ramp down. The results are in<br />

accordance with the theoretical values. For the ramp up the<br />

deviation should be R 1·n·C p·V DD_HV /∆t up = 4.4mV and for the<br />

ramp down it should be -R 1·n·C p·V DD_HV /∆t down = -2.2mV. The<br />

sensitivity to a parasitic capacitance is negligible for spike #2<br />

which is about 1V high (for the same condition R1 = 220kΩ)<br />

while it is problematic for spike #1 and spike #3 which are<br />

16mV and 60mV high respectively. Several parasitic<br />

capacitance values have been investigated to validate the<br />

diagnostic approach. A maximum capacitive load of about<br />

100pF has been experimentally observed.<br />

V I1 (V)<br />

3,0<br />

2,5<br />

2,0<br />

1,5<br />

1,0<br />

0,5<br />

0,0<br />

V DD_HV =<br />

46V;47V;48V;50V<br />

0,0024 0,0025 0,0026 0,0027 0,0028 0,0029<br />

Time (s)<br />

(a)<br />

V DD_HV = 44V<br />

Spike #2<br />

Pull-in<br />

1,42<br />

1,41<br />

Spike #1<br />

Spike #2<br />

1,40<br />

Pull-in<br />

Pull-in<br />

1,39<br />

1,38<br />

1,37<br />

1,36<br />

Spike #3 Pull-off<br />

1,35<br />

Ramp up No ramp Ramp down<br />

1,34<br />

0 0,2 0,4 0,6 0,8 1<br />

Time (s)<br />

(b)<br />

Fig. 7. Evolution of voltage across R 1: (a) close-up view of pull-in event<br />

(spike #2) for different values of HV power supply and R 1 = 1.2MΩ.<br />

Below 44 V, pull-in spike clearly disappears. (b) Averaged signal (32<br />

acquisitions of 1s are averaged) in presence of a 10pF additional parasitic<br />

capacitance (R 1 = 220kΩ, V DD_HV=50V).<br />

V I1 (V)<br />

averaged<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

V. CONCLUSIONS<br />

In this paper, a CMOS HV driver with integrated diagnosis<br />

capabilities for MEMS electrostatic actuators has been<br />

presented. Possible applications concern a wide range of<br />

domain where an array of such actuators could be used (a<br />

reflect array antenna in our case). The paper focuses on the<br />

diagnosis circuitry that has been modeled, simulated and<br />

experimentally validated.<br />

The presented diagnosis approach addresses on-line test of<br />

MEMS switches to detect capacitance variations during pull-in<br />

and pull-off events and to reject parasitic capacitance up to<br />

100pF. The proposed method demonstrates an improvement in<br />

terms of rejection ratio (α/β) of parasitic capacitance of 40 dB<br />

considering the main pull-in event, with respect to the results<br />

obtained in [11]. Furthermore the presented method is able to<br />

detect the pull-off spike thus allowing to determine if a failing<br />

device is stuck down.<br />

In addition, electrical characterization gave some<br />

interesting information on the complete dynamic behavior of<br />

the switch.<br />

ACKNOWLEDGMENT<br />

This work has been carried out under the French ANR<br />

project R3MEMS.<br />

REFERENCES<br />

[1] M.K. Zalalutdinov, J.D. Cross, J.W. Baldwin, B.R. Ilic, W. Zhou,<br />

B.H. Houston, J.M. Parpia, “CMOS-Integrated RF MEMS<br />

Resonators”, Journal of Microelectromechanical Systems, Vol. 19,<br />

pp. 807 – 815, August 2010.<br />

[2] V. Sekar, K. Entesari, “Effect of filter parameters on the phase<br />

noise of RF MEMS tunable filters employing shunt capacitive<br />

switches”, International Journal of RF and Microwave Computer-<br />

Aided Engineering, Vol. 20, pp. 114–121, January 2010.<br />

[3] K. Van Caekenberghe, “RF MEMS technology for radar sensors”,<br />

Radar Conference - Surveillance for a Safer World, 2009. RADAR.<br />

International, pp. 1-6, 12-16 Oct. 2009.<br />

[4] S.S. Myoung, J.G. Yook, S. Y. Eom, S.I. Jeon, T. Wu, R. Li, K.<br />

Lim, M. M. Tentzeris, J. Laskar, “A reconfigurable active array<br />

antenna system with the frequency reconfigurable amplifiers based<br />

on RF MEMS switches ”, Progress In Electromagnetics Research,<br />

Vol. 13, pp. 107-119, 2010.<br />

[5] O. Aharon, L. Gal, Y. Nemirovsky, “Hybrid RF-MEMS Switches<br />

Realized in SOI Wafers by Bulk Micromachining”, Journal of<br />

Microelectromechanical Systems, Vol. 19, pp. 1162 - 1174,<br />

October 2010.<br />

[6] H. Salti, E. Fourn, R. Gillard, H. Legay, H. Aubert, “MEMS<br />

breakdown effects on the radiation of a MEMS based<br />

reconfigurable reflectarray”, EuCAP’09, pp. 3738 –3741, March<br />

23-27, 2009.<br />

[7] S. Baglio, M. Cappeddu, N. Savalli, C. Trigona, M. Bloemer, M.<br />

Scalora, M.C. Larciprete, “Novel SOI inertial sensors with optical<br />

readout based on transparent metals”, IEEE sensors 2008, pp.<br />

333-336, October 26-29, 2008.<br />

[8] B. Ando, S. Baglio, M. Bau, V. Ferrari, E. Sardini, N. Savalli, M.<br />

Serpelloni, C. Trigona, “Contactless electromagnetic interrogation<br />

of a MEMS-based microresonator used as passive sensing<br />

element”, Solid-State Sensors, Actuators and Microsystems<br />

Conference, 2009. TRANSDUCERS 2009,pp. 1429 – 1432, June<br />

21-25, 2009.<br />

[9] A. Fruehling, M. Abu Khater, J. Byunghoo, D. Peroulis, “CMOSbased<br />

monitoring of contact events up to 4 MHz in ohmic RF<br />

318


MEMS switches”, Microwave Symposium Digest (MTT), 2010<br />

IEEE MTT-S International, pp. 300 - 303, May 23-28, 2010.<br />

[10] A. Fruehling, A. Khater, B. Jung, D. Peroulis, “Real-time<br />

monitoring of contact behavior of RF MEMS switches with a very<br />

low power CMOS capacitive sensor interface”, MEMS 2010, pp.<br />

775 – 778, January 24-28, 2010.<br />

[11] N. Dumas, L. Latorre, F. Mailly, P. Nouet, “Smart drivers for<br />

online diagnosis of electrostatic MEMS actuators”, Mixed-Signals,<br />

Sensors and Systems Test Workshop (IMS3TW, pp. 1-6, June 7-9<br />

2010.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

[12] B. Caillard, Y. Mita, Y. Fukuta, T. Shibata, and H. Fujita, “A<br />

highly simple failure detection method for electrostatic<br />

microactuators: application to automatic testing and accelerated<br />

lifetime estimation,” IEEE Transactions on Semiconductor<br />

Manufacturing, vol. 19, no. 1, pp. 35–42, 2006.<br />

[13] C. Villeneuve, S. Aouba, M. Dilhan, D. Bourrier, P. Pons, R Plana,<br />

“Low stressed gradient in gold micromachined cantilevers”, 20th<br />

MicroMechanics Europe workshop, September 20-22, 2009.<br />

319


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

An ultra low power temperature sensor for smart<br />

packaging monitoring<br />

Souha Hacine, Frederick Mailly, Norbert Dumas, Laurent Latorre, Pascal Nouet<br />

University Montpellier 2 / CNRS – LIRMM 161, Rue Ada – 34392 Montpellier Cedex 5 -France<br />

Tel: +33 467.418.665 – Fax: +33 467.418.500 – latorre@lirmm.fr<br />

Abstract- The work presented in this paper concerns the<br />

design and the characterization of a compact and low-power<br />

temperature CMOS sensor as part of an environmental<br />

monitoring scheme for MEMS devices. The proposed sensor is<br />

based on the TCR of available polysilicon resistances and a<br />

previously reported architecture (Active Bridge). It is<br />

composed of a single differential stage that performs both the<br />

resistance biasing and the amplification with the same 2µA<br />

current under 3.3V. Moreover, the Active Bridge offers the<br />

opportunity to implement a very compact Σ∆ modulator, thus<br />

converting the temperature input into digital information.<br />

I. INTRODUCTION<br />

This paper addresses the design of a low-power CMOS<br />

temperature sensor. This study is part of a larger project that<br />

investigates solutions to continuously monitor the<br />

environmental conditions (temperature, pressure, humidity) of<br />

several MEMS sensors packaged together in order to perform<br />

on-line calibration or to anticipate failures [1]. Because the<br />

environment monitoring is not the primary function of the<br />

system, constraints in term of area overhead and power<br />

consumption of dedicated sensors are very tight.<br />

For temperature sensing, an obvious approach is to make use<br />

of thermistors fabricated with standard CMOS materials (e.g.<br />

polysilicon). Still, the conditioning of resistive transducers, by<br />

mean of the well-known Wheatstone bridge is not always a<br />

good solution to minimize the power consumption. Our idea is<br />

to investigate the use of a previously introduced original<br />

structure called “Active Bridge” [2, 3] that combines into a<br />

single stage the resistance biasing and the amplification. Such<br />

approach leads to a small and low-power temperature sensor.<br />

In addition, due to its intrinsic high output resistance, this<br />

structure behaves like an integrator in presence of a capacitive<br />

load. This property offers an excellent opportunity to build a<br />

Σ∆ modulator with the added-value of a digital output.<br />

Therefore, the work presented here first details the design of<br />

an analog temperature sensor based on the Active Bridge.<br />

Then, a Σ∆ modulation scheme is proposed to provide a digital<br />

output to the Active Bridge. Finally, experimental results are<br />

presented and a comparison between the Active Bridge<br />

performances and traditional Wheatstone bridge with same<br />

resistive transducers is made.<br />

II.<br />

TEMPERATURE SENSOR<br />

A. Principle<br />

The ultra-low-power detection of a resistor variation without<br />

noise deterioration is the challenge that we are aiming with the<br />

Active Bridge. The main idea behind this structure is to use the<br />

same current to bias the sensing elements (resistors) and to<br />

achieve the required amplification.<br />

The structure presented in this study is self-biased and does<br />

not require any additional circuitry or reference voltage thus<br />

keeping the power consumption and the silicon area cost very<br />

low. The proposed circuit (Fig.1) uses four sensitive resistors<br />

with two different temperature coefficients (TCR). These TCR<br />

are of opposite signs and have been chosen under<br />

technological constraints: the available materials to make<br />

sensitive resistors with high resistance values and reasonable<br />

area are polyh and poly2 in the AMS (Austria Microsystems)<br />

0.35µm CMOS technology. Their TCR are around 10 -3 /K.<br />

<br />

Out-<br />

<br />

T3<br />

T4<br />

Vdd<br />

Fig. 1 Temperature sensor topology based on the Active Bridge<br />

B. Design of the temperature sensor<br />

In our application, we target a 2µA total current consumption<br />

for the sensing stage. In order to meet this constraint, as well<br />

as good resolution, a (V GS -V T ) equal to 0.4V is chosen. In<br />

addition, this value allows us to reduce the process-induced<br />

mismatches between identically designed devices.<br />

T1<br />

T2<br />

<br />

Out+<br />

<br />

320


11-13 <br />

May 2011, Aix-en-Provence, France<br />

Besides, in order to reduce the flicker noise’s<br />

corner frequency<br />

<br />

To further validate the proposed circuit, Monte Carlo<br />

and to obtain a white noise floor as close as possible to the simulations have been performed (Fig. 3) using both processes<br />

theoretical resistors’ white noise, the designed PMOS and<br />

(wafer to wafer) and mismatches (intra die) variations (at<br />

NMOS have a large area with a greater length than width.<br />

27°C). Due to its high sensitivity (3.4V/°C), the temperature<br />

sensor amplifies mismatch-induced induced offset and the sensor<br />

<br />

<br />

<br />

<br />

<br />

output always saturates. Due to the random behavior of<br />

mismatches, nearly half of the circuits saturates to V dd while<br />

the other half saturates to V ss . Consequently, the sensor cannot<br />

From the (V GS -V T ) value, the resistor’s value is calculated by<br />

be used in open loop and a digital or analog feedback is<br />

applying a simple Ohm’s law on the Active bridge with<br />

required to control (i.e. center) the operating point.<br />

V dd =3.3V:<br />

<br />

<br />

<br />

With |V GSp |=0.4+V tp (V tp =0.7V) and V GSn =0.4+V tn (V tn =0.5V).<br />

So, the resistors white noise, that gives the intrinsic white<br />

noise of the sensor, is:<br />

=<br />

Where k is the Boltzmann constant and T is the ambient<br />

temperature (27°C in equation 3).<br />

Fig. 2 presents a noise simulation (Cadence<br />

® ) of the<br />

architecture dimensioned above. The flicker noise’s corner<br />

frequency is below 10Hz and the total white noise floor is<br />

close to the circuit intrinsic white noise given by the resistors<br />

white noise.<br />

<br />

<br />

<br />

<br />

/Hz<br />

<br />

<br />

<br />

<br />

<br />

229.3nV/Hz<br />

Fig. 2 Noise simulation results (for T=27°C) of the temperature sensor<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

Fig. 3 Monte Carlo Simulation of the operating point of the differential Active<br />

Bridge (DC output voltage for T=27°C)<br />

<br />

(2)<br />

(3)<br />

<br />

<br />

III. IMPLEMENTATION IN A MODULATOR<br />

A. Principle<br />

The modulators are very efficient for converting analog<br />

output signals resulting from sensor variations with low<br />

bandwidths. The interest of this kind of converter is its high<br />

output resolution.<br />

Since thermal variations lead to resistive variations in our<br />

temperature sensor, we opted for a resistive feedback in the<br />

modulator. The principle is illustrated in Fig. 4. A<br />

temperature variation results in a change in the resistors value<br />

(+R). The difference between this variation R and a<br />

feedback resistor is integrated and compared to zero. The<br />

comparator output is then sampled at the modulator clock<br />

frequency and the feedback resistor is added or subtracted to<br />

the sensing resistors according to the sign of the difference’s<br />

average.<br />

T R Output<br />

Sensor + -<br />

<br />

Clk<br />

+R fb DAC<br />

Fig. 4 Block diagram of the Σ∆ modulator<br />

B. Implementation<br />

Fig. 5 presents the transistors-level implementation of the<br />

modulator. The Active Bridge converts the thermal<br />

variations of the sensitive resistors in voltage variations. The<br />

integrator is implemented as a simple low pass filter composed<br />

of the bridge high output resistance (1.9G) and a 22pF<br />

external capacitance.<br />

The sampled comparator block is a Flip-Flop register with a<br />

clock frequency of 16 kHz. When the Flip-Flop output<br />

toggles, S 0 and S 1 are controlled so that V dd switches from one<br />

side of the feedback resistor to the other. This implements the<br />

digital to analog conversion and allows balancing the two<br />

branches of the structure by adding the feedback resistor in<br />

one branch and subtracting it from the other. Symmetrically,<br />

G nd switches from one side to the other at the bottom of the<br />

structure. The value of the feedback resistors is calculated<br />

from the maximum difference ference between the sensitive resistors<br />

321


over the temperature range (i.e. the full-scale). In our case, it is<br />

equal to about 10%.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

noise floor is evaluated over the 1-30 Hz range and is equal to<br />

2.59m°C/Hz.<br />

Vdd<br />

S 0<br />

S 1<br />

R fb<br />

(4)<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

T3<br />

<br />

T4<br />

T2 C<br />

<br />

<br />

R fb<br />

S 1<br />

S 0<br />

IV.<br />

T1<br />

<br />

Out+<br />

Fig. 5 Implementation of the temperature sensor based<br />

on a Σ∆ modulator topology.<br />

EXPERIMENTAL RESULTS<br />

Comparator<br />

<br />

D Q<br />

<br />

<br />

CK<br />

Fig. 6 presents both simulated and experimental output of<br />

the modulator as a function of temperature.<br />

The simulated output represents the ratio of ‘ones’ in the<br />

bit-stream, within 1024 clock periods for each temperature,<br />

extracted from a transient analysis. Experimentally, the same<br />

ratio is calculated over a 10s time window.<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

°<br />

Fig. 6 Normalized digital output versus temperature<br />

In order to determine the resolution of the sensor, a spectral<br />

analysis of the output bit-steam is made at 16 kHz clock<br />

frequency (Fig. 7). The classical noise shaping of a 1 st<br />

order Σ∆ modulator is well observed with an increase of the<br />

noise at high frequencies. From this spectrum, the average<br />

<br />

<br />

Fig. 7 Bit-stream spectral density (F clk=16 kHz)<br />

Now, let us focus on the linearity performance obtained<br />

with the Active Bridge in a Σ∆ modulator configuration, and<br />

compare it to the one of the Wheatstone bridge (Fig. 8). The<br />

Wheatstone bridge remains the most common approach to<br />

condition resistive sensors [4]. This architecture introduces a<br />

major tradeoff between resolution and power consumption [5].<br />

On the one hand, the smaller the sensor resistor is, the higher<br />

the current in the bridge will be. On the other hand, the higher<br />

the sensor resistor is, the higher the noise floor will be. The<br />

performances are compared with identical resistors and thus<br />

similar silicon surface (MOS transistor surfaces are<br />

negligible). Note that in our case, temperature sensor is highly<br />

sensitive; therefore the SNR is not really an issue.<br />

<br />

<br />

<br />

Vdd<br />

<br />

<br />

<br />

Fig. 8 Wheatstone bridge topology with Rpolyh and Rpoly2<br />

Fig. 9 presents the simulated non linearity for both<br />

architectures. These results are compared to the non linearity<br />

experimentally observed for the Σ∆ modulator. It shows that<br />

the calculated nonlinearities (as a percentage of the full-scale<br />

temperature range, i.e. 140°C) are identical for both<br />

architectures. It can be deduced that this non linearity comes<br />

from the sensing elements themselves and not from the<br />

architectures. Besides, the maximum is reached at both<br />

extremities of the temperature range and the shape of the nonlinearity<br />

reasonably allows suspecting a quadratic effect of the<br />

temperature on the resistance. Regarding experimental non<br />

linearity, we can conclude that the experimental set-up of<br />

322


11-13 <br />

May 2011, Aix-en-Provence, France<br />

these preliminary results must be improved but obtained<br />

<br />

results are close to the simulated one.<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

TABLE I: Comparison chart between the<br />

Wheatstone bridge and the Active Bridge performances<br />

Active bridge with<br />

Σ∆ modulator<br />

Wheatstone<br />

bridge<br />

Consumption 1 (µA) 2 5.3<br />

v n (nV/Hz) 101.5<br />

Sensitivity (mV/°C) 2.14<br />

Resolution (°C/Hz) 2.59m 47.42µ<br />

Non linearity 6.9° 7.5°<br />

1<br />

Consumption of the sensor only.<br />

<br />

Fig. 9 Linearity study of the two circuits from -40 to 100°C<br />

To confirm the relationship between linearity and the<br />

resistance dependence to temperature, we have studied the<br />

linearity of the resistance of both materials used to implement<br />

resistance temperature sensors (Fig. 10). It confirms that the<br />

non linearity is basically due to the thermal quadratic terms of<br />

both materials (polyh and poly2).<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

°<br />

<br />

<br />

Fig. 10 Intrinsic linearity with temperature of resistors.<br />

Finally, Table I summarizes performances obtained at 27°C,<br />

for both studied architectures: Wheatstone bridge and Active<br />

Bridge in a Σ∆ modulator. Due to its one-bit digital output, it<br />

is impossible to calculate output noise or sensitivity for the Σ∆<br />

modulator. These notions are only meaningful after<br />

decimation filtering. Noise that has been determined<br />

previously for the Σ∆ modulator output (Fig. 7.) corresponds<br />

to a quantization noise that allows determining a resolution<br />

<br />

proportional to <br />

[6], where f c is the cut-off frequency of<br />

<br />

the low-pass filter implementing the integrator and f ck is the<br />

clock frequency of the modulator. It is then possible to freely<br />

adjust these parameters to reach the targeted resolution down<br />

to the limit fixed by the intrinsic noise of the Active Bridge<br />

(Eq. 3).<br />

The main advantage of the Active Bridge is its ability to<br />

reduce the power consumption while providing a digital output<br />

when used in a Σ∆ modulator.<br />

V. CONCLUSION<br />

This paper presents a temperature sensor with an innovative<br />

structure for the signal conditioning of resistance. A<br />

comparison between the traditional Wheatstone bridge and the<br />

Active Bridge has been made that demonstrates the main<br />

advantages of the proposed solution are its very low power<br />

consumption and its capacity to provide directly a one-bit<br />

digital output. In particular, the high output resistance of the<br />

Active Bridge allows implementing a modulator with very<br />

few additional parts.<br />

ACKNOWLEDGMENT<br />

This work was supported by ANR, the French National<br />

Research Agency, under the project MIDISPPI.<br />

REFERENCES<br />

[1] F. Mailly, N. Dumas, N. Pous, L. Latorre, O. Garel, E. Martincic,<br />

F. Verjus, C. Pellet, E. Dufour-Gergam, P. Nouet. Original<br />

Research Article Sensors and Actuators A: Physical, Volume 156,<br />

Issue 1, November 2009, Pages 201-207<br />

[2] Boujamaa E. M., Dumas N., Mailly F., Latorre L., Nouet P. «The<br />

Active Bridge: an Alternative to the Wheatstone Bridge for<br />

Efficient Conditioning of Resistive MEMS Sensors » Design, Test,<br />

Integration of MEMS/MOEMS (DTIP’09), Avril 2009.<br />

[3] Boujamaa E. M., Alandry B., Hassine S., Mailly F., Latorre L.,<br />

Nouet P. « A Low Power Interface Circuit for Resistive Sensors<br />

with Digital Offset Compensation » IEEE International<br />

Symposium on Circuits and Systems (ISCAS’ 10), Juin 2010.<br />

[4] Luc, Hébrard, Jean-Batiste, Kammerer and Francis, Braun. « A<br />

chopper Stabilized Biasing Circuit Suitable for Cascaded<br />

Wheatstone-Bridge-Like Sensors ». IEEE Transaction on Circuits<br />

and Systems. August 8, 2005, Vol. 52, 8.<br />

[5] Apinunt, Thanachayanont and Suttisak, Sangtong. « Low-Voltage<br />

Current-sensing CMOS Interface Circuit for Piezo-Resistive<br />

Pressure Sensor ». ETRI Journal. February 2007, Vol. 29, 1.<br />

[6] O. Leman, F. Mailly, L. Latorre, P. nouet, «A wide bandwith, wide<br />

dynamic-range thermal Σ∆ architecture for convective<br />

accelerometers», 8 th IEEE Conference on Sensors (SENSORS’09),<br />

October 2009.<br />

323


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Accurate Thermal Characterization of Power<br />

Semiconductor Packages by Thermal Simulation and<br />

Measurements<br />

Andras Vass-Varnai (1,2) , Robin Bornoff (2) , Sandor Ress (1,2) , Zoltan Sarkany (2) , Sandor Hodossy (1) , Marta Rencz (1,2)<br />

Budapest University of Technology and Economics (1)<br />

Mentor Graphics Mechanical Analysis Division (2)<br />

@eet.bme.hu<br />

@mentor.com<br />

In this paper the possibility of generating a compact thermal<br />

model based on thermal transient measurements is discussed and<br />

evaluated. A case study of a power diode in a cylindrical-shaped<br />

copper package is shown. The detailed model of the package is<br />

built and simulated in a CFD based thermal simulator software.<br />

The measurement results are compared to the results of the<br />

simulations and after some model refinement we found good<br />

agreement. The compact model of the package is also identified<br />

based on the structure functions generated from the real<br />

measurement. The case-node is determined using the standard<br />

dual-interface method. The resulting compact model has proven<br />

to be a perfect representation of the real package as the structure<br />

functions generated based on measurements and corresponding<br />

simulation results match perfectly.<br />

I. INTRODUCTION<br />

As the functionality of thermal simulators gets more and<br />

more complex, measurement techniques also improve.<br />

Thermal engineers face an increasingly difficult task to<br />

make the right selection from the existing tools. Beside this<br />

problem the precise determination of thermal performance<br />

indicators such as RthJC or RthJB is becoming more and<br />

more difficult as the package geometries become more<br />

complex. The thermal characterization of novel power<br />

packages hosting a number of dies is a major issue where<br />

the standard definitions cannot be applied anymore [1,2].<br />

The answer to these challenges may lie in a combined<br />

measurement and simulation approach. Measurements yield<br />

a structure description of materials having different<br />

conductivities; simulation gives the clue as to what certain<br />

sections in the measured structure correspond to. TIM<br />

materials are very difficult to model, as neither their<br />

conductivity nor their thickness can be determined with<br />

high accuracy even by the designer of a given package.<br />

Well planned thermal measurements are suitable tools to<br />

measure the in-situ resistance of these materials so that they<br />

can be later on used for accurate model creation. Another<br />

example may be the junction-to-case thermal resistance<br />

measurement of power packages where the single R thJC<br />

value obtained by measurements may not be a perfect<br />

indicator due to the complex heat-spreading path. In such<br />

cases steady-state simulations may show the temperature<br />

variation on the case surface which is a good basis to verify<br />

whether the simple R thJC approach is valid for the given<br />

package or not.<br />

As the measurement and simulation techniques mutually<br />

support each-other, the ultimate solution for package<br />

thermal characterization may be the simulation model<br />

creation based on real measurements [3].<br />

II. Experimental<br />

In order to compare simulation results with actual<br />

measurements a power diode package was selected having a<br />

cylindrical package shape and a hexagonal silicon diode<br />

inside.<br />

Fig. 1: Package structure of the studied diode (actual on left, 3D model on<br />

right)<br />

The package is app. 8.4 mm high and has a 12.5 mm<br />

diameter cooling surface on its bottom. The hexagonal die is<br />

located in the top region of the package and it is mounted<br />

using a top and bottom die attach layer to the copper<br />

cylinders. The edges of the hexagon are located on a 8.1<br />

324


mm diameter circle. The package and die geometry as well<br />

as the material properties are easy to identify, however the<br />

thermal properties of the two die attach layers are unknown.<br />

The properties of these layers can be individually set in the<br />

detailed model for furter refinement.<br />

The sample was pressed against a water-cooled cold-plate<br />

while a thermally conductive grease was used to establish<br />

proper thermal contact between the diode package and the<br />

surface of the cold-plate.<br />

The numerical simulation was conducted by FloTHERM<br />

from Mentor Graphics. Convective and radiative heat<br />

transfer were assumed insiginifcant and thus not modelled.<br />

The circulated water was modelled as a region of constant<br />

temperature. The final setup can be seen in Fig. 2.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

The measurement starts at the switching and finishes in the<br />

cold thermal steady-state of the diode as prescribed by the<br />

JEDEC JESD 51-1 test standard. Based on the voltage<br />

change of the diode it is easy to calculate the temperature<br />

change knowing the so-called k-factor, the relationship<br />

between the diode’s forward voltage and the temperature at<br />

a constant, steady current. The sensitivity measured on this<br />

diode was equal approximately to the textbook value,<br />

2mV/°C. Knowing the k-factor and having the temperature<br />

vs. voltage characteristics measured by the transient tester it<br />

is easy to plot the change of the junction temperature of the<br />

device during the test. The power step used in this study<br />

was app. 4.07 W. For comparision purposes the same power<br />

was set in the thermal simulator to the active volume of the<br />

silicon die. For ease of simualtion, the numerical model<br />

considered a step increase in power dissipation. Both the<br />

measured and simulated temperature difference curves can<br />

be viewed in Fig. 4.<br />

Fig. 2: Detailed model resembling the real measurement conditions<br />

As a first step thermal transient measurements were<br />

carried out on the packaged semiconductor device.<br />

Thermal transient measrements require a power step on<br />

the juntion of the semiconductor device, which is usually<br />

supplied by electrical means. In this measurement the<br />

following electrical setup was used:<br />

Fig. 3: Electrical test setup used in this study<br />

Before the actual measurement starts, the sum of a<br />

heating current and a measurement current is forced through<br />

the semiconductor diode. As the temperature of the diode<br />

stabilizes the heating current is suddenly switched off.<br />

Typical fall time is less than a 1µs. As soon as the switching<br />

takes plase from the high current to the measurement<br />

current value, the voltage of the device is monitored with a<br />

time resolution of 1 µs and a voltage resolution of 12 µV.<br />

Fig. 4: Measured and simulated transient responses of the studied structure.<br />

It is easy to observe that the shape of the curves is similar,<br />

at one part of the transient they even fit, however there is a<br />

slight difference between the rise time and the total<br />

elevation of the two transient responses. Important unknown<br />

parameters in the detailed model are the thermal<br />

conductivity and bondline thickness of the die attach layers<br />

and thermal conductivity of the grease at the package<br />

boundary.<br />

In order to identify the source of the differences between<br />

the curves in Fig. 4, the transient responses were turned into<br />

system descriptive structure functions [4,5].<br />

In the structure functions the cumulative thermal<br />

capacitance is plotted as a function of the cumulative<br />

thermal resistance. If the heat-flow path is mainly onedimensional<br />

as in case of most power semiconductor<br />

packages having an exposed cooling tab, these functions<br />

provide a map of the heat-flow path from the heat-source<br />

which is the semiconductor junction to the ambient. This<br />

approach allows the identification of partial thermal<br />

resistances and partial thermal capacitances in the main heat<br />

conduction path.<br />

The structure functions corresponding to the measured<br />

sample and the detailed model can be seen in Fig. 5.<br />

325


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

which that heat flows is also high. The colored sections of<br />

the following figures show areas of increased thermal<br />

bottleneck, with red being the largest. The arrows<br />

correspond to the direction of the heat flow<br />

The short steep section between point 1 and point 2<br />

corresponds to the predominant resistance of the top die<br />

attach layer as felt by the heat wave, see Fig. 7.<br />

Fig. 5: Structure function view of Fig. 4<br />

In practice the curve is a very useful tool for comparison<br />

studies, e.g. for the identification of die attach voids in a<br />

power package by comparing the structure function<br />

corresponding to a good, so-called “golden reference<br />

device” to an unknown device. [6] When comparing a<br />

simulation to a measurement these graphical structures may<br />

help to identify the source of the difference between two<br />

results in terms of which package structures are responsible<br />

for the observed differences. This is impossible to be done<br />

by analyzing the time-domain curves only. In case of<br />

comparing a simulation to a real measurement this approach<br />

may help to fine-tune the simulation model by revealing<br />

material property data of the internal layer structure.<br />

In order to match the layers identified by the structure<br />

functions to the internal layers of the real assembly, all steps<br />

of the transient simulation were saved individually and the<br />

heat-spreading was visualized. Based on the results the<br />

structure function of the measured sample was divided into<br />

four different parts, see Fig. 6.<br />

Fig. 7 : Heat spreading from the die in the simulated package at 1.69E-4<br />

seconds. The arrows show the heat-flux originating from the active layer<br />

Both the lower and upper die attach layers offer a thermal<br />

resistance, however as the time goes towards steady-state<br />

the large amount of copper beneath the die (compared to the<br />

small easily filled amount of copper above it) and the<br />

increased cooling capacity offered by that path is felt,<br />

pulling the heat downwards resulting in a larger thermal<br />

resistance value as the majority of the heat passes through<br />

this area, see the flat section indicated by point 3.<br />

Fig. 8: Heat flux at 0.76 seconds, dominant thermal bottleneck now in the<br />

bottom die attach<br />

This phenomenon is nicely illustrated in Fig. 8. The high<br />

bottleneck red area has shifted to the bottom die attach<br />

which now acts as the significant thermal resistance in the<br />

thermal path.<br />

As time passes the heat fills up the total copper volume of<br />

the package and enters the TIM (thermal interface material)<br />

layer at the package boundary. This state is shown in Fig. 9.<br />

Fig. 6: Structure function corresponding to the measured transient, divided<br />

into four structural elements<br />

As a first step the die itself cools down and appears as a<br />

very steep section in the function indicating that the thermal<br />

capacitance of the layer is very big compared to its thermal<br />

resistance.<br />

The concept of Thermal Bottlenecks and their<br />

visualization in 3D thermal simulations is covered in [7,8].<br />

Areas of increased thermal bottlenecks indicate where both<br />

the heat flux is high and the thermal resistance through<br />

326


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Although the two curves fit very well even in the<br />

structure function space where the differences are enhanced<br />

compared to the time domain, minor differences can be<br />

observed. We believe that they originate from the fact that<br />

the simulations are entirely noiseless while the<br />

measurements inherently contain some white noise. In case<br />

of the electric measurement it is practically around 24-36<br />

µV.<br />

A. Compact model generation<br />

Fig. 9: Steady-state heat-flux distribution in the simulated package and its<br />

environment<br />

In thermal steady-state the thermal grease acts as the<br />

biggest thermal bottleneck in the studied system. The long<br />

straight line in Fig. 6 marked by number 4 corresponds to<br />

this layer and shows that it has a very large thermal<br />

resistance compared to its low thermal capacitance.<br />

This example shows the actual conditions in this<br />

particular system, however similar heat-spreading<br />

mechanisms can be identified in other power packages as<br />

well. The results clearly show that there are three main parts<br />

of the structure where the fine-tuning of the geometry and<br />

the material parameters may be necessary, they are the two<br />

die attach layers with special emphasize on the bottom one<br />

and the TIM layer.<br />

Still the number of the variables is large; however after<br />

14 rounds of iterative fine-tuning we achieved a very good<br />

correspondence between the results of the simulation model<br />

and the real device. The corresponding structure functions<br />

can be viewed in Fig. 10. The slight difference between the<br />

shapes of the structure functions at their end, which describe<br />

the ambient, is a result of the simplified model of the water<br />

in the cold-plate. As the goal of the study was the<br />

appropriate modeling of the package, not the ambient, the<br />

flow of the water was not taken into account.<br />

A compact model is a simplified representation of the<br />

thermal behaviour of a semiconductor package. The goal of<br />

the compact model (CTM) creation is not to resemble the<br />

real package geometry, but to allow the prediction of<br />

temperatures at important points of a thermal system such<br />

as the junction itself. If a CTM is dynamic it is also possible<br />

to predict the change of the temperature of the given node<br />

as a function of time. As the CTM is an abstraction of the<br />

component only, it requires less griding thus less<br />

computational efforts. This is in addition to the fact that the<br />

CTM hides proprietory information about the package<br />

construction.<br />

Such a model can be generated based on the thermal<br />

resistance – thermal capacitance values derived from the<br />

strucutre functions if a one-dimensional heat spreading can<br />

be assumed. The resulting RC ladder has to be cut at the<br />

case node. In order to identify it, the dual-interface<br />

methodology was used. [9]<br />

A point of separation of the structure functions was<br />

identified after making two thermal measurements of the<br />

same package with different boundary conditions. Whilst<br />

the heat spreads in the same structure the structure functions<br />

are identical, but as soon as the main trajectory of the heat<br />

leaves the package boundary and enters the two different<br />

interface layers a point of separation can be identified. In<br />

case of this particular package we measured 0.34K/W. All<br />

the derived thermal resistance and thermal capacitance<br />

points are can be used for the model creation up to this<br />

resistance value.<br />

Fig. 11: Identification of the case node using the dual-interface method<br />

Fig. 10: Comparison of the detailed model to the measurement results<br />

In the derived model the real geometry is not considered,<br />

only the total volume and the contact area of the package is<br />

327


given. This allows a CTM model to be provided by package<br />

manufacturers even along with the standard datasheets as<br />

they include no proprietary information of the internal<br />

details of the package at all.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

If one tries to apply the double-interface methodology [9]<br />

to obtain the R thJC of such a package, difficulties may arise.<br />

As the area of one individual chip compared to the area of<br />

the entire package is small, the internal heat-spreader of the<br />

packages may already serve as a cold-plate in the system<br />

[10]. If the boundary condition is thermally good (e.g.<br />

grease is applied between the package surface and the coldplate,<br />

the heat leaves the heat-spreader into the cold-plate<br />

through a relatively narrow spreading cone. On the other<br />

hand if no thermal grease is applied between the two<br />

surfaces, the heat will spread first laterally along the heatspreader<br />

before entering the cold-plate.<br />

This simple behavior will be visible in the structure<br />

functions<br />

Fig. 12: Derived CTM – No real geometry data is revealed<br />

A transient simulation is carried out on this model and,<br />

for CTM accuracy verification, the resulting structure<br />

function was compared to the original experimental model.<br />

The results were satisfactory, as shown in Fig. 13.<br />

T3Ster Master: cumulative structure function(s)<br />

0.06339 0.101006<br />

10000<br />

1000<br />

FMG2G150US60_10A_T25 - Ch. 0<br />

FMG2G150US60_10A_T25_MY - Ch. 0<br />

Cth [Ws/K]<br />

100<br />

10<br />

1<br />

49.6756<br />

Fig. 13: Comparison of the behavior of the CTM and the real structure<br />

A perfect match is obtained between the two structure<br />

functions up to the package boundary, which proves that the<br />

measurement-based CTM worked as expected. The app. 5%<br />

difference in overall thermal resistance is assumed to be a<br />

result of the fixed temperature approach for modeling the<br />

water or the error on the TIM resistance measurement itself.<br />

B. Problems with packages having larger surface area<br />

For packages having multiple heat-sources, such as half-,<br />

or full bridge modules hosting multiple MOSFET or IGBT<br />

devices the 1D heat-flow assumption is in most of the cases<br />

not valid. It is a common practice that engineers try to<br />

characterize these systems with a single R thJC value,<br />

however modeling such a complex package with one single<br />

value may lead to inaccuracies.<br />

0.1<br />

0.01<br />

0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4<br />

Rth [K/W]<br />

Fig. 14. Junction-to-case thermal resistance results in case of a 7PM-GA<br />

package hosting 2 IGBT devices<br />

Fig. 14. shows the calculated structure functions of a<br />

power package which has both of the above mentioned<br />

problems. The blue curve shows the case when the device<br />

was put directly on a cold-plate using thermal grease. The<br />

red curve represents the case when no grease was applied. It<br />

is clearly visible that the location of the diverging point is at<br />

0.063K/W. After this point however the curves run parallel,<br />

up to app. 0.16K/W. The parallel running structure<br />

functions describe the heat spreading in the package base;<br />

this is partly proven by the long horizontal part in the red<br />

functions which is the thermal resistance of the air gap<br />

between the contacting surfaces.<br />

328


The fact that the location of the diverging point is so<br />

much indefinite also points out that such complex packages<br />

should not be modeled with a single thermal resistance<br />

value. Based on the fact that the measurement based<br />

compact modeling approach nicely describes discrete<br />

components including the internal details of the package<br />

there is a possibility to make measurements on each<br />

individual chip in a multichip module and cut the RC<br />

ladders at the point where the heat starts to spread along the<br />

internal heat-spreader. The resulting CTM-s which<br />

describes the individual chips can be connected to a detailed<br />

model of the heat-spreader. The resulting ‘hybrid model’<br />

could accurately predict the thermal behavior of each single<br />

chip while taking into consideration the temperature<br />

coupling effects between the chips by modeling the<br />

conduction within the heat-spreader. This is however a topic<br />

of our future research.<br />

III. Conclusions<br />

In this paper the steps of a complex thermal<br />

characterization by a combined measurement and<br />

simulation approach are explained.<br />

In case of the creation of a detailed package simulation<br />

model there may be some unknown parameters such as the<br />

exact bond-line thickness and thermal conductivity of the<br />

thermal interface materials. Taking the structure functions<br />

calculated based on the measured thermal transient response<br />

of the package one can get a good reference to which the<br />

simulation model can be calibrated in an iterative way. With<br />

the help of this approach very good calibration results were<br />

achieved at the package level.<br />

Another option is the generation of a CTM of a power<br />

package based on real thermal measurements. This<br />

approach has the prerequisite of a one-dimensional heatflow<br />

path from the junction towards the package surface.<br />

Such a heat-spreading phenomenon is characteristic to<br />

power packages with one dedicated cooling tab.<br />

This study proves that the structure function approach is a<br />

good tool for compact model thermal model generation as<br />

the simulated results match with the measured results<br />

perfectly. In order to achieve such good results only two<br />

quick thermal measurements have to be carried out saving<br />

both time at the model creation and also at the solution. In<br />

our study we focused on the modeling at package level, the<br />

model of the environment was not fully refined which<br />

results in minor deviations at the parts of the structure<br />

functions describing the ambient. Verifying the effect of<br />

the water-flow in the pipes on the structure functions has<br />

scope for further study.<br />

The perfect match between the simulation results using<br />

the CTM and the measurements at the package level raises<br />

new possibilities for the modeling of more complex multichip<br />

modules, too. If one can work out a suitable algorithm<br />

to cut the structure function at the point where the heat<br />

enters the base-plate of a given package, the chip and its<br />

close thermal environment can be modeled using an<br />

accurate CTM, while the cross-coupling effects could be<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

simulated using a detailed model of the base-plate itself.<br />

This ‘hybrid model’ could be distributed along with the<br />

package itself as it inherently hides proprietary geometry<br />

and material data, however allows the end-users to<br />

accurately model the in-situ thermal behavior.<br />

REFERENCES<br />

1. T. Bohm , T. Hauck, “Scaleable thermal RC-network<br />

approach to analyze multichip power packages”. Proc. 6th<br />

THERMINIC, Budapest, pp. 230-234, 2000.<br />

2. B.S. Lall, B.M. Guenin, R.J. Molnar, “Methodology for<br />

thermal evaluation of multichip modules”, Proc. 21 st<br />

SEMITHERM, San Jose, Page(s): 72-79, 1995<br />

Digital Object Identifier: 10.1109/STHERM.1995.512054.<br />

3. András Poppe, Andras Vass-Varnai, Gábor Farkas, Marta<br />

Rencz, “Package characterization: simulations or<br />

measurements? In: Proceedings of the 10th Electronics<br />

Packaging Technology Conference (EPTC'08). Singapore,<br />

2008.12.09-2008.12.12.pp. 155-160. Paper A6.4. (ISBN:<br />

978-1-4244-2117-6)<br />

4. O. Steffens, P. Szabo, M. Lenz, and G. Farkas, "Thermal<br />

transient characterization methodology for single-chip and<br />

stacked structures", Proc. 21th SEMITHERM, San Jose, pp.<br />

313-321, 2005.<br />

5. V.Szekely, "Identification of RC networks by<br />

deconvolution: Chances and Limits", IEEE Trans. On<br />

Circuits and Systems – I: Fundamental Theory and<br />

Applications, Vol. 45, No. 3, pp. 244-258, 1998.<br />

6. M. Rencz, V. Székely, A. Morelli, C. Villa, “Determining<br />

partial thermal resistances with transient measurements and<br />

using the method to detect die attach discontinuities”, 18th<br />

Annual IEEE SEMI-THERM Symposium, March 1-14<br />

2002, San Jose, CA,USA, pp. 15-20<br />

7. J. Parry, R. Bornoff, B. Blackmore, “Thermal Bottlenecks<br />

and shortcut opportunities; innovations in electronics<br />

thermal design simulation”, Electronics cooling, September<br />

2010<br />

8. R. Bornoff, B. Blackmore, J. Parry, “Heat Sink Design<br />

Optimization Using the Thermal Bottleneck Concept”, 27th<br />

Annual IEEE SEMI-THERM Symposium, March 2011,<br />

San Jose, CA,USA<br />

9. D. Schweitzer, “The junction-to-case thermal resistance: A<br />

boundary condition dependent thermal metric”, 27th<br />

Annual IEEE SEMI-THERM Symposium, March 2011,<br />

San Jose, CA,USA, pp. 151<br />

10. A. Vass-Varnai, S. Gao, Z. Sarkany, J. Kim, S. Choi, G.<br />

Farkas, A. Poppe, M. Rencz “Issues in junction-to-case<br />

thermal characterization of power packages with large<br />

surface area”, 26th Annual IEEE SEMI-THERM<br />

Symposium, March 2010, San Jose, CA,USA, pp. 151<br />

ACKNOWLEDGMENT<br />

The work was partially supported by the SE2A ENIAC JU project (No<br />

120009) of NKTH (No OMFB-00521/2009) and by the JEMSiP_3DENIAC<br />

JU project (No 120016) of NKTH (No OMFB-00166/2010).<br />

329


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

LINEAR ENERGY CONTROL OF LASER<br />

DRILLING AND ITS APPLICATION FOR<br />

TFT-LCD BRIGHT PIXEL REPAIRING<br />

Taco Chen and Ming-Tzer Lin *<br />

Graduate Institute of Precision Engineering,<br />

National Chung Hsing University, Taichung 402, Taiwan, R.O.C.<br />

Abstract- Laser drilling is energy dependent and linear<br />

proportion to the thickness of the materials. Therefore, a linear laser<br />

power supplier will be convenient applying in extensive aperture<br />

processes. However, practically, the energy output of laser<br />

equipments is non-linear. To obtain a linear energy output, laser<br />

power meter is utilized for energy compensation, but the application<br />

of laser power meter requires ceasing the operation of laser<br />

equipment. In this paper, a linear energy compensation method was<br />

investigated and designed by using a measurement of laser energy<br />

output that provides a stable linear energy laser for processes. In the<br />

method, the laser energy testing only requires a fixed time for<br />

measuring laser energy and changing laser energy compensate table.<br />

Furthermore, the laser equipment doses not need stop during the<br />

laser power meter calibration. In addition, a software method for<br />

linear energy compensation was designed and applied to the laser<br />

equipments which have no laser power meter compensation<br />

practices. The method could control and compensate laser energy in<br />

linear output which the energy linear proportion (R square) reaches<br />

0.9989 and provided a very stable power source. When using this<br />

laser method in the LCD panel design processes, the successes rate<br />

reached 80% in performing the bright pixel repair. In panel defect<br />

repair, it could prevent taking the case apart from module and<br />

fabricate that increases the efficiency in production.<br />

Keyword: non-linear energy, linear energy compensation, bright<br />

pixel repair<br />

I. INTRODUCTION<br />

Laser beam repairing is one of the most widely used<br />

thermal energy based non-contact type advance machining<br />

process which can be applied for almost whole range of<br />

materials. In microelectronics processing and manufacturing, it<br />

has became one of an important processes for increasing the<br />

final yielding and product refinement. Laser beam is focused<br />

for melting and vaporizing the unwanted material from the<br />

parent material. Among various type of lasers used for<br />

machining in industries, CO2 and Nd:YAG lasers are most<br />

established. It has become a common repairing tool for fix the<br />

common defect in TFT-LCD.<br />

In the recent years, TFT-LCD has become one of the key<br />

electronics appliances in our daily life. In addition, because of<br />

its light in weight and thin in panel display TFT-LCD has<br />

become an alternative display to replace traditional CRT TV.<br />

However, TFT-LCD is an advanced industry which costs a lot<br />

in its fabrication and requires an extremely high yield. To fulfill<br />

the increasing green engineering and low price demand of the<br />

TFT-LCD optoelectronic products, the high yield and refurbish<br />

of each useful component have become one of the most<br />

important issues. As a result, the laser beam repairing technique<br />

that is used to repair the driving IC and the liquid crystal display<br />

(LCD) panel becomes one of the key techniques in the<br />

processes of the flat panel display manufacturing.<br />

Previously, researchers have explored a number of ways<br />

to improve the laser repairing process performance by<br />

analyzing the different factors that affect the quality<br />

characteristics. The experimental and theoretical studies show<br />

that process performance can be improved considerably by<br />

proper selection of laser parameters, material parameters and<br />

operating parameters.<br />

In particular, proper selection of laser energy parameters<br />

is the most important. Usually, laser drilling is energy<br />

dependent and laser energy is proportion to the thickness of the<br />

materials. Thus, design and development of a functional linear<br />

laser power supplier is needed to extensive aperture processes.<br />

However, the energy output of laser equipments is<br />

non-linear and is traditionally rely on the on-off process for the<br />

energy control. In order to obtain a smooth linear energy output<br />

of laser drill for TFT-LCD defect repairing. This research work<br />

carried out in the area of energy control study for different<br />

TFT-LCD materials and defect shapes. It reports about the<br />

experimental and theoretical studies of Laser repairing to<br />

improve the process performance. Several modeling and<br />

optimization techniques for the determination of optimum laser<br />

beam cutting condition have been critically examined.<br />

In addition, we will discuss how to repair the bad pixels<br />

of TFT-LCD, including laser cutting and welding. We use the<br />

D.O.E (Design of Experiment) method to obtain the factors of<br />

process parameters in laser repairing, which let us to know the<br />

important factor in the laser energy process parameters.<br />

II. EXPERIMNETAL<br />

In general, there are inevitable defects resulted from the<br />

TFT-LCD fabrication processes. However, some defects such<br />

as the bad pixel can be repaired as dark or lightly bright point.<br />

This paper studies several laser repairing methods to repair the<br />

defects of the pixel which permits those repaired pixels<br />

working normally. Based on the TFT-LCD fabrication process,<br />

330


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

driving principle, laser repairing and pixel design, we adopt the<br />

adjacent pixel to drive the bad one. The repaired pixel will be<br />

normally operated.<br />

Figure 1~3 show common defect happened in metal and<br />

insulation lines in TFT-LCD after processing.<br />

Figure 4: Schematic view of the laser repairing for a TFT-LCD<br />

pixel.<br />

Figure 1: Common defect in TFT-LCD (Metal)<br />

Figure 5: Internal rescue circuit lines design in TFT-LCD<br />

Figure 2: Common defects in TFT-LCD (Insulation)<br />

In general, proper selection of laser energy parameters is<br />

the most important for TFT-LCD repairing. Usually, laser<br />

drilling is energy dependent and laser energy is proportion to<br />

the thickness of the materials. Thus, design and development of<br />

a functional linear laser power supplier is needed to extensive<br />

aperture processes. In recent years, researchers have explored a<br />

number of ways to improve the laser repairing process<br />

performance by analyzing the different factors that affect the<br />

quality characteristics. Figure 6 shows Example of Nd:YAG<br />

Laser repairing processes [13]. The experimental and<br />

theoretical studies show that process performance can be<br />

improved considerably by proper selection of laser parameters,<br />

material parameters and operating parameters.<br />

Figure 3: Common defects in TFT-LCD (Metal)<br />

In the most common laser repairing for TFT-LCD, one of<br />

best ways for repairing is to use the flowing metal between data<br />

line and ITO. When the pixel has been damaged, it will be<br />

repaired by cutting the TFT of pixel and connecting the data<br />

line with ITO by laser welding; therefore, the damaged pixel<br />

will be lightly bright point as shown in Figure 4. The other way<br />

is to use the diode to replace the flowing metal, the diode is<br />

used as a filter for ac data signals and the damaged pixel will<br />

work normally in checking image on matter what is black or<br />

white screens. Figure 5 shows a general design layout for metal<br />

rescue lines adjacent to the TFT-LCD circuit of a pixel.<br />

Figure 6: Nd:YAG Laser repairing processes [13]<br />

331


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Practically, the energy output of laser equipments is<br />

non-linear. In order to obtain a linear energy output, the laser<br />

power meter is utilized for the calibration of energy<br />

compensation. We measured the laser energy output as shown<br />

in Figure 7, and then utilized D.O.E (Design of Experiment)<br />

method to obtain the optimized laser energy parameters for the<br />

laser energy.<br />

Figure 8: The experimental results of linearization<br />

laser Energy of HOYA Laser repairing processes<br />

Figure 7: The laser energy output measurement system<br />

This D.O.E method was used to calibrate the output<br />

energy through 200 energy steps. We used equations 1 to obtain<br />

modified energy output of each step thus to obtain linear energy<br />

output. Where N can be defined as 10 for each 10 energy steps<br />

or 20 for each 20 energy steps.<br />

(Step Power Max — Step Power Min )/ N=Rang Power /step (1)<br />

Thus we can obtain a reference table to linearlize the<br />

laser energy output. In order to refine the output energy for each<br />

step. The additional calibration can be performed using<br />

equation (1) again and set the N number to be 5.<br />

Using this study allowed us to create the linear<br />

compensation table that provides a stable linear energy laser for<br />

processes. The method, used in this experiment, required only a<br />

fixed time for measuring laser energy and allowed laser energy<br />

changed according to the compensate table. As a result, the<br />

laser equipment doses not need to run on-off control and can be<br />

performed no-stop process during the laser drilling.<br />

III. RESULTS AND DISCUSSION<br />

Figure 8 shows the experimental results of linearization<br />

laser Energy of HOYA Laser repairing processes using above<br />

mention method.<br />

Application used linear laser drilling energy<br />

compensation method was performed and tested to the laser<br />

equipments which have no laser power meter compensation<br />

practices. The results indicated that this method controlled and<br />

compensated laser drilling energy output linearly in which the<br />

energy linear proportion reached R square in 0.9989, providing<br />

a very stable power source. Moreover, when using this method<br />

in the TFT- LCD panel repairing processes, the successes<br />

repairing rate reached 80% in performing the bright pixel repair.<br />

In addition, in panel defect repair, it prevents taking the case<br />

apart from module and fabricate that increases the efficiency in<br />

TFT-LCD production drastically. Figure 9 shows the SEM<br />

image of repaired TFT-LCD metal section using proposed<br />

experimental results of laser repairing processes. Figure 10<br />

shows the images of with and without repaired TFT-LCD panel<br />

using proposed method for laser repairing processes.<br />

Figure 9: The SEM image of repaired TFT-LCD<br />

metal section using proposed experimental results of laser<br />

repairing processes<br />

332


Figure 10: The images of without and with repaired<br />

TFT-LCD using proposed experimental results of laser<br />

repairing processes<br />

IV. CONCLUSION<br />

A linear energy compensation method was investigated<br />

and designed by using a measurement of laser energy output<br />

that provides a stable linear energy laser for processes. In the<br />

method, the laser energy testing only requires a fixed time for<br />

measuring laser energy and changing laser energy compensate<br />

table. Furthermore, the laser equipment doses not need stop<br />

during the laser power meter calibration. In addition, a software<br />

method for linear energy compensation was designed and<br />

applied to the laser equipments which have no laser power<br />

meter compensation practices. The method could control and<br />

compensate laser energy in linear output which the energy<br />

linear proportion (R square) reaches 0.9989 and provided a very<br />

stable power source. When using this laser method in the LCD<br />

panel design processes, the successes rate reached 80% in<br />

performing the bright pixel repair. In panel defect repair, it<br />

could prevent taking the case apart from module and fabricate<br />

that increases the efficiency in production.<br />

ACKNOWLEDGMENT<br />

The authors are grateful to the assistance from both<br />

engineers in Shuz Tung Machinery Industrial CO. LTD,<br />

Taiwan and AU Optronics Corp. Taiwan.<br />

REFERENCES<br />

[1] C. Jeong,Y.Jinwoo,P. G. Poo ―A defect inspection method for TFT<br />

panel using the compute unified device architecture (CUDA)‖,<br />

Industrial Electronics, 2009. ISIE 2009. IEEE International<br />

Symposium on, (2009) p779-782.<br />

[2] W. C. Lee ,J. B. Song , B. Y. Kim, S. H. Park, S. M. Lim, W. J.<br />

Lee―Auto Defect Repair Algorithm For LCD Panel Review &<br />

Repair Machine‖, SICE Annual Conference, 2008 , p2200-2203.<br />

[3] J. Lee, J. Ehrmann, D. Smart, J. Griffiths, J. Bernstein, ―Analyzing<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

the Process Window for Laser Copper-link Processing,‖ Solid State<br />

Technology,(2002) p63-66.<br />

[4] J. B. Bernstein, J. Lee, G. Yang, T. Dahmas, ―Analysis of Laser<br />

Metal-cut Energy Process Window,‖ IEEE Semicondut. Manufact.,<br />

Vol. 13, No. 2,(2000) p228-234.<br />

[5] K.J. Chung,‖ Microbridge Formation for Low Resistance Interline<br />

Connection Using Pulsed Laser Techniques‖ Doctor of Philosophy,<br />

2005.<br />

[6] G. Chryssolouris, Laser Machining—Theory and Practice.<br />

Mechanical Engineering Series, Springer-Verlag, New York Inc.,<br />

NewYork,(1991).<br />

[7] J.D. Majumdar, I. Manna, Laser processing of materials,<br />

Sadhana 28 (3–4) (2003) p495–562.<br />

[8] T. Norikazu, Y. Shigenori, H. Masao, Present and future of lasers for<br />

fine cutting of metal plate, Journal of Materials Processing<br />

Technology 62 (1996) p309–314<br />

[9] C. H. Li, M. J. Tsai, R. Chen, C. H. Lee, S. W. Hong, Cutting for QFN<br />

packaging by diode pumping solid state laser system, Proceedings of<br />

IEEE Workshop on Semiconductor Manufacturing Technology<br />

(2004) p123–126.<br />

[10] C. H. Li, M. J. Tsai, S. M. Yao, Cutting quality study for QFN<br />

packages by Nd:YAG laser, Proceedings of the IEEE International<br />

conference on Mechatronics (ICM’05) (2005) p19–24.<br />

[11] C.-H. Li, M.-J. Tsai, C.-D. Yang, Study of optimal laser parameters<br />

for cutting QFN packages by Taguchi’s matrix method, Optics and<br />

Laser Technology 39, (2007) p786–795.<br />

[12] J.K.S. Sundar, S.V. Joshi, Laser cutting of materials, Centre for Laser<br />

Processing of Materials, International Advance Research Centre for<br />

Powder Metallurgy and New Materials, Hyderabad.<br />

[13] A. K. Dubey a and V. Yadava, Laser beam machining—A review,<br />

International Journal of Machine Tools and Manufacture, Vol 48,<br />

Issue 6, (2008) p609-628<br />

[14] D. K. Y. Low, L. Li, P. J. Byrd, The influence of temporal pulse train<br />

modulation during laser percussion drilling, Optics and Lasers in<br />

Engineering 35:149-164,2001<br />

333


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Measurement of Electrical Properties of Materials<br />

under the Oxide Layer by Microwave-AFM Probe<br />

Lan Zhang, Yang Ju*, Atsushi Hosoi, and Akifumi Fujimoto<br />

Department of Mechanical Science and Engineering, Nagoya University<br />

Furo-cho, Chikusa-ku, Nagoya 4648603, Japan<br />

Abstract- The capability of a new AFM-based apparatus<br />

named microwave atomic force microscope (M-AFM) which<br />

can measure the topography and electrical information of<br />

samples simultaneously was investigated. Some special samples<br />

with different thicknesses of dielectric film (SiO 2 ) which plays<br />

the role of oxide layer creating on the material surface were<br />

fabricated. The measurement of electrical properties of<br />

materials under the oxide layer by the M-AFM was studied.<br />

The results indicate that the M-AFM can lead the microwave<br />

signal penetrate the oxide film (SiO 2 ) with a limited thickness of<br />

60 nm and obtain the electrical information of underlying<br />

materials.<br />

I. INTRODUCTION<br />

Scanning probe microscope (SPM) has become increasingly<br />

important, as an evaluation apparatus having a spatial<br />

resolution on nanometer scale. After the scanning tunneling<br />

microscope (STM), the atomic force microscope (AFM) and<br />

the near-field scanning optical microscope (NSOM) were<br />

invented, and various kinds of SPMs based on these<br />

microscopes have been developed. These improved SPMs<br />

made it possible to measure not only the topography of<br />

materials but also the thickness of the oxidized membrane,<br />

the profile of the two-dimensional dopant [1], the<br />

distribution of the electrical potential and the magnetic field<br />

on the material surface [2], the distribution of the hardness<br />

and stiffness on the material surface [3] and so on.<br />

Electrical properties are very important fundamental<br />

properties, since they have an enormous influence on the<br />

functionality of materials. However, development of a<br />

technology which is able to measure electrical properties<br />

such as conductivity, permittivity and permeability on a<br />

nanometer scale is far behind the development of the SPMs<br />

noted above. In particular, the electrical properties of<br />

materials in a nano-region are affected not only by the<br />

structure and composition of these materials, but also by the<br />

mechanical factors of stress and strain due to lattice<br />

vibrations. The measurement of electrical properties in a<br />

nano-region is expected to be used in various fields for the<br />

fabrication of nanomaterials, the development and evaluation<br />

of nanodevices, the elucidation of various mechanisms<br />

within living tissues and so on. On the other hand,<br />

microwave microscopies have been developed for the<br />

measurement of electrical properties and the detection of<br />

defects in the microscopic regime [4-6]. Duewer et al. [7]<br />

developed a scanning evanescent microwave microscope<br />

(SEMM) with which they succeeded in measuring the<br />

resistivities of three kinds of metallic materials by using the<br />

property of microwaves that the resonant frequency changes<br />

depending upon the capacitance between the probe tip and<br />

the material’s surface. Tabib-Azar and Akiwande [8] were<br />

successful in detecting and imaging depletion regions in<br />

solar cell p-n junctions in real time. Ju et al. [9] were<br />

successful in the detection of delamination in integrated<br />

circuit packages by exploiting the properties of microwave<br />

signals that change depending on the electrical properties of<br />

the materials.<br />

To evaluate the electrical properties of materials using<br />

microwaves, it is necessary to keep the standoff distance<br />

between the microwave probe and the sample constant<br />

because microwave signals in the near-field are extremely<br />

sensitive to this distance. Otherwise, it is difficult to<br />

distinguish the change in the signal due to the difference of<br />

the material properties or due to the change of the stand-off<br />

distance. In particular, to evaluate the electrical properties of<br />

materials with high resolution on a nanometer scale, it is<br />

indispensable to control the stand-off distance precisely on<br />

the order of a nanometer. In order to solve these problems, Ju<br />

et al. [10-12] proposed a microwave atomic force microscope<br />

(M-AFM). The M-AFM has the characteristics that it can<br />

maintain a constant stand-off distance as an AFM and it also<br />

can evaluate the electrical properties of materials<br />

quantitatively as a microwave microscope. With this unique<br />

combination, M-AFM is able to evaluate the electrical<br />

properties, as well as the topography, of a material<br />

simultaneously in one scanning process with<br />

nanometer-scale resolution.<br />

Recently, the researches about electrical characteristics<br />

of metallic film and membrane on a nano-scale have become<br />

the hot topics more and more. However, if the surface of the<br />

metallic film or membrane is covered by a thin oxide layer,<br />

the traditional method will fail in evaluating the electrical<br />

property of material under the oxide layer, because it is<br />

difficult to make direct contacts to the material under test. On<br />

the contrary, M-AFM can be used to solve this problem,<br />

because the microwave signals emitted from the tip of<br />

M-AFM can penetrate the dielectric film, and have an<br />

334


interaction with the underlying materials. By analyzing the<br />

reflected microwave signals, the electrical properties of<br />

underlying materials can be evaluated. In this paper, some<br />

special samples with different thickness of dielectric films<br />

which plays the role of oxide layer created on the material<br />

surface were fabricated, and the measurement of electrical<br />

properties of materials under the oxide layer by the M-AFM<br />

was investigated in details.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A<br />

Microwave generator<br />

Tunable<br />

short<br />

Measurement system<br />

Attenuator<br />

Multiplier<br />

Magic<br />

tee<br />

II.<br />

EXPERIMENTAL PROCEDURE<br />

A. Probe Fabrication<br />

To restrain the attenuation of microwave propagating in<br />

the probe, a non-doped GaAs wafer was used as the substrate<br />

of the probe. Wet etching was used to fabricate the probe<br />

because it is possible to obtain the desired structure by<br />

causing a side etching under the etching mask. The<br />

fabrication method and process of the M-AFM probe have<br />

been studied in details by Ju et al. [10,11].<br />

Topography<br />

B<br />

Tip<br />

Ag film<br />

Laser<br />

Microwave<br />

image<br />

Cantilever<br />

SiO oxide layer<br />

2<br />

Silicon substrate<br />

Detector<br />

Probe holder<br />

AFM<br />

Fig. 2. Schematic diagram of the M-AFM measurement<br />

system.<br />

The images of the cantilever and tip of M-AFM probe<br />

were taken by scanning electron microscopy (SEM), as<br />

shown in Fig. 1. Fig. 1A shows the forepart of cantilever, a<br />

sharp tip with a height of 7 μm was formed at the front of the<br />

cantilever. As shown in Fig. 1B, a nano-slit was introduced<br />

across the cantilever through the center of the tip by focus ion<br />

beam (FIB) fabrication. The width of the nano-slit is<br />

approximately 100 nm.<br />

Fig. 1. SEM images, A: the cantilever of M-AFM probe; B:<br />

a nano-slit across the probe tip introduced by FIB<br />

fabrication.<br />

B. Microwave Measurement System<br />

The measurements in this paper were carried out by a<br />

compact microwave instrument which is composed of an<br />

amplifier, a magic-Tee, an attenuator, a tunable short, and a<br />

diode detector [13], as shown in Fig. 2. Fig. 2A shows the<br />

flow chart of the operating microwave signals for<br />

measurements. The microwave signals working at a<br />

frequency f=94 GHz, which was generated by a microwave<br />

generator. Then the microwave signals were separated into<br />

two branches by the magic-Tee. One branch signal was sent<br />

to the M-AFM probe to sense the samples and then the<br />

reflected signal was received by the probe tip, as shown in<br />

Fig. 2B. Another branch signal was sent to the attenuator and<br />

then to the tunable-short to form a reference signal with a<br />

constant phase difference and a similar amplitude comparing<br />

with the reflected signal from the sample. The reference<br />

signal was determined by setting the output voltage of the<br />

detector to be a definite value when the M-AFM was set in<br />

air without the approaching, and this was carried out by<br />

adjusting the attenuator and the tunable-short. The reflected<br />

signal and the reference signal were finally synthesized by<br />

335


the magic-Tee, and the coherent signals were measured by<br />

the detector. The detector used in the experiment was a<br />

square-law detector, and the output voltage has a linear<br />

relationship with the squared complex modulus of the<br />

reflection coefficient. When the sample was scanned by the<br />

M-AFM probe, the reflected signal which carries some<br />

useful information of the sample’s electrical property was<br />

received and converted to the voltage value.<br />

C. Experimental Conditions and Samples<br />

Fig. 3A indicates the interaction of microwave signals<br />

with the sample under test. The incident microwave signals<br />

propagated in M-AFM probe and then were emitted at the top<br />

of probe tip. Considering the configuration and dimensions<br />

of the probe tip and the nano-slit from which microwave<br />

signals are emitted, the measurement was dominated by the<br />

interaction between the near-field microwave and a shallow<br />

surface layer of the sample. Therefore, if the distance<br />

between M-AFM probe tip and the sample under test exceeds<br />

the interaction range of the near-field microwave, the<br />

microwave can not sense the sample and no useful<br />

information of the sample’s electrical property is presented<br />

in the reflected microwave signals. In this study, we<br />

researched on the relationship between the thickness of oxide<br />

layer on a metallic film and the reflected microwave signals.<br />

It can be demonstrated from our study that the M-AFM is<br />

able to measure the electrical property of material under a<br />

thin oxide layer.<br />

A<br />

M-AFM<br />

probe tip<br />

Incident wave<br />

Reflected wave<br />

B<br />

SiO oxide layer<br />

2<br />

Silicon wafer<br />

Ag film<br />

Silicon wafer<br />

a Ag film<br />

Silicon wafer<br />

Silicon substrate<br />

Ag film<br />

20 nm Silicon dioxide layer<br />

b<br />

Ag film<br />

Silicon wafer<br />

40 nmSilicon dioxide layer<br />

c<br />

Silicon wafer<br />

Ag film<br />

d<br />

100 nm Silicon dioxide layer<br />

f<br />

Silicon wafer Ag film<br />

Fig. 3. Schematic diagrams, A: interaction of near-filed<br />

microwave with the sample under test; B: the fabrication<br />

process of samples used in this study.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Au films<br />

(wave guide)<br />

GaAs<br />

Near-field<br />

microwave<br />

Interface<br />

The samples under test are prepared as follows. A thin<br />

Ag film with thickness of 100 nm on average was deposited<br />

on the Si wafer by electron beam (EB) evaporation. Then,<br />

SiO 2 films with different thickness were evaporated on the<br />

Ag film respectively, as shown in Fig. 3B. These SiO 2 films<br />

play the role of oxide layer created on the surface of the<br />

metallic film. In this way, we obtained five samples with the<br />

SiO 2 films having the thickness from 20 nm to 100 nm with<br />

the increment of 20 nm and another one without the SiO 2<br />

film.<br />

Since the thickness of Ag film in this study was 100 nm,<br />

which is much larger than the skin depth of Ag for<br />

microwave at the frequency of 94 GHz, only the microwave<br />

signal reflected from the top face of the Ag film can affect the<br />

measurement results. The measurements were performed in<br />

air, with a working environment of temperature 25.0 °C,<br />

relative humidity 50%. The M-AFM worked in a non-contact<br />

mode, and the scanning area and scanning speed were 2 µm ×<br />

2 µm and 1000 nm/sec, respectively.<br />

III.<br />

RESULTS AND DISCUSSION<br />

A. Experiment of Measuring the Samples<br />

Fig. 4A depicts the schematic diagram of experiment of<br />

measuring the samples. Since this study is going to use the<br />

M-AFM probe to scan the Ag samples under 6 different<br />

thickness of oxide film (SiO 2 ), the whole experiment was<br />

separated into 6 times. In order to make all the steps can be<br />

kept in same initial measurement conditions, before the<br />

scanning processes for all the samples, we firstly set the<br />

initial voltage to 1.5 V (by the voltage-offset function of<br />

pre-amplifier) at the situation of keeping a constant distance<br />

of 2.6 µm between the probe tip and measured sample. Then,<br />

during the scanning process, the stand-off distance between<br />

the probe tip and scanning surface was fixed in several<br />

nanometers by the atomic force and the voltage<br />

corresponding to the inspected sample was measured and<br />

recorded. Fig. 4B shows the relationship between the<br />

thickness of oxide film and the measured voltage, which was<br />

converted from the reflected microwave signals.<br />

B. Calibration Experiment<br />

In order to verify the creditability of the measurements,<br />

calibration experiment was also carried out. The M-AFM as<br />

well as the AFM is able to adjust the standoff distance to<br />

different values and keep it constant during the scanning<br />

process. By recording the scanning route of scanning<br />

topography, the cantilever of probe could be lifted up a set<br />

value with nano-meter order. Lifting the cantilever on the<br />

each scanning contour, the M-AFM probe performs<br />

up-and-down motion line by line. Then, the stable<br />

topography and microwave image can be acquired in twice<br />

scanning process. In this study, we input the height value to<br />

lift up the M-AFM tip from the normal feedback position of<br />

topography image with a positive value from 20 nm to 1000<br />

nm. All the other experimental conditions are kept the same<br />

as mentioned for the previous works.<br />

336


A<br />

60 nm SiO 2 100 nm<br />

film<br />

SiO 2 film<br />

Ag film<br />

Ag film<br />

Ag film<br />

Silicon substrate Silicon substrate<br />

Silicon substrate<br />

B<br />

Measured voltage (V)<br />

A<br />

Tip of M-AFM<br />

C<br />

C<br />

20 nm SiO<br />

Microwave oxide layer<br />

image<br />

Microwave<br />

image<br />

Oxide film thickness (nm)<br />

Fig. 4. A: schematic diagram of the scanning process of<br />

samples covered by oxide films with different thickness; B:<br />

the relationship between the measured voltage and the<br />

thickness of oxide film. Inset C and D are the microwave<br />

images of Ag film and Ag film covered by a 60 nm oxide<br />

layer measured by the M-AFM.<br />

Ag film<br />

Silicon substrate<br />

B<br />

Measured voltage (V)<br />

Tip of M-AFM<br />

1.342 V 1.349 V<br />

20 nm SiO<br />

oxide layer<br />

D<br />

D<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fig. 5A depicts the schematic diagram of calibration<br />

experiment. The M-AFM probe scanned the samples of Ag<br />

film 20 times with different standoff distances ranging from<br />

0 to 1000 nm. Fig. 5B shows the relationship between<br />

measured voltage values and the standoff distances.<br />

1.453 V 1.462 V<br />

1000 nm<br />

200 nm<br />

Ag film<br />

Ag film<br />

Silicon substrate<br />

Silicon substrate<br />

Stand-off distance (nm)<br />

C. Discussion<br />

As shown in Fig. 4B, the measured voltage is monotone<br />

increasing when the thickness of oxide layer is smaller than<br />

60 nm. However, when the thickness of oxide layer covered<br />

on the Ag film becomes larger than 60 nm, the measured<br />

voltage almost keeps constant regardless of different<br />

thickness of oxide layer. This result illustrates that the<br />

electrical property of Ag film under the oxide layer would<br />

affect the reflected microwave signals and thus can be<br />

extracted from the measured voltage when the SiO 2 layer is<br />

thinner than 60 nm. However, if the thickness of oxide layer<br />

is larger than 60 nm, the microwave signals will spread to<br />

other directions rather than penetrate the oxide layer to sense<br />

the covered sample. Thereby, the electrical property of the<br />

sample under a thick oxide layer can not be extracted from<br />

the measured voltage. In the calibration experiment, the<br />

similar phenomenon was observed. When the standoff<br />

distance is larger than 200 nm, the change in the measured<br />

voltage becomes very small. It means that the effective<br />

detection range of the M-AFM probe tip in air is almost 3<br />

times larger than that in the SiO 2 layer. The reason can be<br />

explained as that microwave signals can propagate more<br />

easily in the air than in the oxide layer due to the dielectric<br />

attenuation.<br />

The results suggest that the M-AFM can be used to<br />

measure the electrical property of material under a thin oxide<br />

layer, but the thickness and electromagnetic parameters of<br />

the oxide layer should be considered in a quantitative<br />

measurement.<br />

IV. CONCLUSION<br />

We carried out a group of experiment to verify the<br />

M-AFM with the capacity of measuring the electrical<br />

information of underlying materials. Some special samples<br />

with different thickness of dielectric films (SiO 2 ) which<br />

plays the role of oxide layer creating on the material surface<br />

were fabricated. The thickness of oxide-layer is from 20 nm<br />

to 100 nm with 20 nm increase in this work. As the results<br />

shown, the M-AFM probe can sense the electrical<br />

information of measured materials under the oxide layer with<br />

a limited thickness of 60 nm.<br />

ACKNOWLEDGMENT<br />

This work was supported by the Japan Society for the<br />

Promotion of Science under Grants-in-Aid for Scientific<br />

Research (A) 20246028 and (S) 18106003.<br />

Fig. 5. A: schematic diagram of the scanning process for Ag<br />

film with different standoff distance; B: the relationship<br />

between the measured voltage values and the standoff<br />

distances.<br />

REFERENCES<br />

[1] J.J. Kopanski, J.F. Marchiando, and J.R. Loweny, Scanning capacitance<br />

microscopy measurements and modeling: Progress towards dopant profiling<br />

of silicon, Journal of Vacuum Science and Technology B: Microelectronics<br />

337


11-13 <br />

May 2011, Aix-en-Provence, France<br />

and Nanometer Structures, Vol. 14, No. 1, pp. 242-247, (1996). <br />

[2] Y. Martin, D.W. Abraham and H. K. Wickramasinghe, High-resolution<br />

capacitance measurement and potentiometry by force microscopy, Applied<br />

Physics Letters, Vol. 52, No. 13, pp. 1103-1105, (1988).<br />

[3] M. Nonnenmacher, M.P.O’ Boyle and H.K. Wickramasigh, Kelvin<br />

probe force microscopy, Applied Physics Letters, Vol. 58, No. 25, pp.<br />

2921-2923, (1991).<br />

[4] Y. Martin and H. K. Wickramasinghe, Magnetic imaging by “force<br />

microscopy” with 1000 Å resolution, Applied Physics Letters, Vol. 50, No.<br />

20, pp. 1455-1457, (1987).<br />

[5] M. Petzold, J. Landgraf, M. Füting and J.M. Olaf, Application of atomic<br />

force microscopy for microindentation testing, Thin Solid Films, Vol. 264,<br />

No. 2, pp. 153-158, (1995).<br />

[6] K. Yamanaka and S. Nakano, Ultrasonic atomic force microscopy with<br />

overtone excitation of cantilever, Japanese Journal of Applied Physiscs Part<br />

1, Vol. 35, No. 6B, pp. 3787-3792, (1996).<br />

[7] F. Duewer, C. Gao, I. Takeuchi, and X.D. Xiang, Tip-sample distance<br />

feedback control in a scanning evanescent microwave microscope, Applied<br />

Physics Letters, Vol. 74, No. 18, pp. 2696-2698, (1999).<br />

[8] M. Tabib-Azar and D. Akiwande, Real-time imaging of semiconductor<br />

space-charge regions using high-spatial resolution evanescent microwave<br />

microscope, Review of Scientific Instruments, Vol. 71, No. 3, pp. 1460-1465,<br />

(2000).<br />

[9] Y. Ju, M. Saka and H. abé, NDI of delamination in IC packages using<br />

millimeter-waves, IEEE Transactions on Instrumentation and Measurement,<br />

Vol. 50, No. 4, pp. 1019-1023.<br />

[10] Y. Ju, H. Sato and H. Soyama, Fabrication of the tip of GaAs<br />

microwave probe by wet etching, Proceedings of interPACK2005, Paper No.<br />

73140, CD-ROM (2005).<br />

[11] Y. Ju, T. Kobayashi and H. Soyama, Fabrication of a GaAs microwave<br />

probe used for atomic forcemicroscope, Proceedings of interPACK2007,<br />

Paper No. 33613, CD-ROM (2007).<br />

[12] Y. Ju, T. Kobayashi and H. Soyama, Development of a nanostructural<br />

microwave probe based on GaAs, Microsystem Technologies, Vol. 14, No. 7,<br />

pp. 1021-1025, (2008).<br />

[13] L. S. Liu and Y. Ju, Nondestructive measurement and high-precision<br />

evaluation of the electrical conductivity of doped GaAs wafer using<br />

microwaves, Review of Scientific Instruments, Vol. 81, No. 124701, pp.<br />

124701-1-4, (2010).<br />

338


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Amplitude Enhancement Using Vibration Mode<br />

Localization with A Single Micro-mechanically<br />

Coupled Beam-shaped Resonator Array<br />

Keisuke Chatani 1 , Dong F. Wang 1 , Tsuyoshi Ikehara 2 , and Ryutaro Maeda 2<br />

1<br />

Micro Engineering & Micro Systems Laboratory, Ibaraki University (College of Eng.), Hitachi, Ibaraki 316-8511, Japan<br />

(Tel: +81-294-38-5024; Fax: +81-294-38-5047; E-mail: dfwang@mx.ibaraki.ac.jp)<br />

2<br />

Ubiquitous MEMS and Micro Engineering Research Center (UMEMSME), AIST, Tsukuba, Ibaraki 305-8564, Japan<br />

Abstract- The use of vibration mode localization in arrays of<br />

micro-mechanically coupled, nearly identical beam-shaped resonators<br />

has been studied for ultrasensitive mass detection and analyte<br />

identification. Eigenstate shifts that are 3 to 4 times (compared to<br />

single resonator), and orders (compared to resonator array) of<br />

magnitude greater than corresponding shifts in resonant frequency for<br />

an induced mass perturbation are theoretically analyzed, from the view<br />

points of geometrical design of the coupling overhang, cantilever<br />

length, as well as number of the identical coupled cantilevers.<br />

Furthermore, the shifts in eigenstates are unique to the resonator to<br />

which the stiffness or mass perturbation is induced, therefore<br />

providing a characteristic “fingerprint” that identifies the particular<br />

resonator where the stiffness or mass perturbation is induced.<br />

Keywords- Vibration mode localization, Eigenstate shifts,<br />

Amplitude enhancement, Ultrasensitive mass detection, Analyte<br />

identification, Coupled resonator array, Coupling overhang<br />

I. VIBRATION MODE LOCALIZATION<br />

In resonant frequency based sensors the output corresponds<br />

to a shift in the resonant frequency of a vibrating<br />

micromechanical structure when subjected to small<br />

perturbations in either its stiffness or mass. The most sensitive<br />

micro cantilever based mass detection experiments using the<br />

frequency-shift approach have reported attogram level<br />

detection in ultrahigh vacuum environment [1-3] and<br />

femtogram level detection under ambient conditions [4-5].<br />

In contrast, the concept of using Anderson or vibration<br />

mode localization [6-13] in any array of nearly identical<br />

coupled resonators has also been proposed as a eigenstate-shift<br />

based sensing mechanism in recent years in coupled micro<br />

cantilevers under ambient conditions [6, 14-15].<br />

Some advantages of mode localized sensing can be listed<br />

below. Firstly, times or orders of magnitude in parametric<br />

sensitivity of micromechanical mass detection compared to the<br />

conventional frequency-shift approach can be obtained.<br />

Secondly, such sensors can offer the important advantages to<br />

intrinsic common mode rejection that renders it less susceptible<br />

to false-positive readings that frequency-shift based sensors.<br />

Thirdly, both the ultra sensitive detection and analyte<br />

identification of small perturbation can be achieved at same<br />

time with a single coupled resonator array.<br />

While many studies of mode localization in coupled<br />

structures and arrays of coupled resonators have been<br />

performed, the question of whether this phenomenon can be<br />

used in a sensing capacity has not been examined<br />

systematically.<br />

This work however, first theoretically studies the effects of<br />

geometrical design of the coupling overhang, cantilever length,<br />

as well as number of the identical coupled cantilevers on the<br />

magnitude enhancement by means of hypothesizing a small<br />

mass perturbation, which binds to cantilever surface due to<br />

molecule specific interactions. A preliminary evaluation has<br />

been then carried out by using microfabricated coupled<br />

beam-shaped resonator arrays.<br />

II.<br />

PHYSICS OF THE AMPLITUDE ENHANCEMENT<br />

A. Vibration localization in coupled two-resonator<br />

array<br />

A schematic and a discretized model of two identical<br />

beam-shaped cantilevers coupled by an overhang are shown in<br />

Fig. 1(a) and 1(b), respectively. Each cantilever is modeled as a<br />

damped simple harmonic oscillator, while the effect of the<br />

overhang coupling is modeled as spring connecting the two<br />

oscillators.<br />

Considering first the case of two initially identical<br />

cantilevers, the eigenvalue governing the undamped free<br />

oscillations of the system can be written as follows [6]:<br />

⎡<br />

⎢<br />

⎣<br />

−<br />

+<br />

/1/<br />

1<br />

− KK<br />

1 ⎤ cKK c<br />

= λuu<br />

+ KK + δ )1<br />

⎥<br />

(1)<br />

2<br />

2 ⎦ cKK c /<br />

where K 1 (=K), M 1 (=M) and K 2 (=K), M 2 (=M) are, respectively<br />

the bending stiffness and suspended mass of the two cantilevers,<br />

while δ represents the ratio of the effect mass ( Δ M) being<br />

detected to the single cantilever mass (M) . Kc is the stiffness of<br />

the overhang coupling the two cantilevers.<br />

339


After analyzing the two conditions of δ = 0 and δ ≠ 0, it can<br />

be seen that the relative change in normalized eigenstate is<br />

given by<br />

0<br />

−uu<br />

ii ⎛ 1 1 ⎞<br />

⎜ += ⎟δ<br />

0<br />

, i = 2,1 (2)<br />

u ⎝ 4 /4 KK ⎠ c<br />

i<br />

while the relative change in the eigenvalue or resonance<br />

frequency of a single cantilever is given by<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

−1 ~~ = ω<br />

2<br />

where u is a normalized eigenstate ( u i<br />

= 1) of the system<br />

representing the tip amplitudes of each cantilever of the array at<br />

the corresponding eigenfrequency, ω is an eigenfrequency of<br />

the system, and M and K are the mass and stiffness matrices of<br />

the system, respectively, given by the following Equations (5)<br />

and (6), respectively.<br />

uu (4) K<br />

− λλ−<br />

=<br />

λ 2<br />

0<br />

0 δ<br />

Noted that the perturbed eigenstates U i , i=1, 2 start becoming<br />

localized in the sense that in each eigenstate one cantilever<br />

oscillates more than the other.<br />

Equation (2), which defines the sensed quantity in this<br />

sensing paradigm, suggests that simply by decreasing the<br />

scaled coupling between the two cantilevers Kc/K, the relative<br />

changes in eigenstates can be made orders of magnitude greater<br />

than the relative change in eigenvalue of a single cantilever.<br />

(a)<br />

(3)<br />

⎡M<br />

⎢<br />

⎢<br />

0<br />

M =<br />

⎢<br />

⎢<br />

⎣<br />

1<br />

~ 2<br />

⎡<br />

⎢<br />

K = ⎢<br />

⎢<br />

⎢<br />

⎣<br />

1<br />

−<br />

~ c 2<br />

0 L 0 ⎤<br />

M L 0<br />

⎥<br />

⎥<br />

⎥<br />

(5)<br />

MOM<br />

⎥<br />

00<br />

L n Δ+ MM<br />

⎦<br />

−+<br />

KKK<br />

cc<br />

L 0 ⎤<br />

+ KKK<br />

⎥<br />

c L 0<br />

⎥ (6)<br />

MOM<br />

⎥<br />

⎥<br />

00<br />

+−<br />

KKK<br />

cnc<br />

⎦<br />

(b)<br />

K1<br />

Kc<br />

K2<br />

where M i and K i represent the mass and stiffness, respectively,<br />

of each cantilever. Solving Equation (4) when Δ M = 0 and M i<br />

= M, K i = K yields n eigenstates of the initially perfectly<br />

ordered system, while the primary mode consists of all<br />

cantilevers vibrating in phase with identical amplitude.<br />

Kc<br />

Kc<br />

C1<br />

M1<br />

X1<br />

Fig. 1. (a) Schematic of the coupled 2-cantilever resonator array with a<br />

mass perturbation placed at the end of one beam-shaped cantilever, and (b)<br />

simplified model of the coupled 2-cantilever oscillator array.<br />

B. Vibration localization in coupled n-resonator array<br />

A discretized model of identical cantilevers coupled by<br />

overhangs in a large array is shown in Fig. 2. Considering a<br />

perfect array of identical spring-mass oscillators (cantilevers)<br />

with each oscillator connected to its neighbor by a coupling<br />

spring, the sensitivity to mass added of the eigenstates of the<br />

coupled array can then be estimated as follows [7]:<br />

C2<br />

M1+ΔM<br />

X2<br />

III.<br />

K1 K2 Kn<br />

M1<br />

C1 C2 Cn<br />

X1 X2 Xn<br />

Fig. 2. Schematic of the coupled n-cantilever resonator array.<br />

THEORETICAL ANALYSIS OF COUPLED BEAM-SHAPED<br />

RESONATOR ARRAY<br />

Fig. 3 shows the schematic of a single weakly coupled array,<br />

corresponding to a perfect array of 15 identical spring-mass<br />

beams with each beam connected to its neighbor by an<br />

overhang (coupling spring). The geometrical size of the<br />

overhang is defined as a times b, as defined also in Fig. 3.<br />

M2<br />

Mn+⊿M<br />

340


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

the amplitude enhancement. The design No.4 (4a : 4b)<br />

undergoes 3.81 times (compared to single resonator) of<br />

magnitude greater than corresponding shifts in resonant<br />

frequency of cantilever No.14 at mode 15. While for cantilever<br />

No.13 at mode 1, still 2.5 times of magnitude can be obtained.<br />

(a)<br />

Fig. 3. The schematic of coupled beam-shaped 15-resonator array with a<br />

mass perturbation of 10 pg applied to cantilever No.14, and the size definition<br />

of coupling overhang.<br />

(b)<br />

Fig.4. Micromechanically coupled beam-shaped 15-resonator array<br />

simulated by using CoventorWare TM software, where (a) and (b): 1 st mode and<br />

15 th mode before mass perturbation; ( a’) and (b’ ): 1 st mode and 15 th mode after<br />

mass perturbation.<br />

In order to estimate the sensitivity to small mass<br />

perturbation of the eigenstates of the coupled array, theoretical<br />

analysis has been conducted for eigenstate shifts with and<br />

without small perturbation using Conventor Ware TM software.<br />

Fig. 4 shows the eigenstate shifts in vibration mode 1 and mode<br />

15, respectively, before and after a mass perturbation of 10 pg<br />

was induced. As shown in Fig. 3, the mass perturbation was<br />

induced on the tip of the cantilever No.14, and the vibration<br />

localization at cantilever No.13, No.14, and No.15 were thus<br />

analyzed. Fig. 5 (a) and (b) show the relative changes of<br />

amplitude due to the mass perturbation as a function of<br />

vibration modes for a fifteen coupled resonator array with a<br />

coupling overhang of design No.4 as defined in Table 1. The<br />

resonant frequency of a single cantilever as a function of<br />

vibration mode is also drawn in Fig. 5 for enhancement<br />

comparison. It is noticed that mode 15 undergoes the greatest<br />

change of 67.43 %, and both the mode 1 and mode 2 also show<br />

a relatively great changes. Table 1 summarizes the effects of<br />

five kinds of geometrical designs of the coupling overhang on<br />

Fig. 5. The relative change (%) of eigenstate (amplitude) due to the mass<br />

perturbation as a function of vibration modes, with a relation to a geometrical<br />

design of coupling overhang as 4a : 4b, where 5 (b) is a magnified figure of 5 (a)<br />

for a lower range of relative change. The resonant frequency of a single<br />

cantilever as a function of vibration mode is also drawn for comparison.<br />

Table 1. The effect of different geometrical design of coupling overhang on the<br />

relative change (%) of amplitude before and after a small mass perturbation.<br />

341


IV.<br />

MICRO FABRICATION<br />

For fabricating the above mechanically-coupled<br />

beam-shaped resonator arrays, an SOI (silicon on insulator)<br />

wafer with a 2.5-μm-thick top silicon layer, 300-nm-thick SiO 2<br />

layer, and 400-μm-thick silicon substrate was used as a starting<br />

material, as shown in Fig. 6.<br />

Fig. 6. Typical process chart<br />

for the coupled bema-shaped resonator array system.<br />

The topside silicon was first thinned to 500 nm by reactive<br />

ion etching (RIE) using SF 6 , and then patterned by lithography<br />

and etched using a deep reactive ion etching (deep RIE) to form<br />

the mechanically-coupled cantilever pattern. The substrate<br />

silicon was isotropically etched by RIE through the etching<br />

window of insulating SiO 2 . The coupled cantilever structures<br />

were released by wet etching of SiO 2 in HF and following<br />

supercritical point drying. Several resonator arrays are<br />

fabricated with micromechanically-coupled overhangs (design<br />

No. 4), as typically shown in Fig. 7.<br />

Fig. 7. Typical micrograph of the fabricated coupled 5-resonator arrays.<br />

Spectrum<br />

Analysis<br />

Function<br />

Generater<br />

TV<br />

monitor<br />

Laser<br />

Doppler<br />

Oscillator<br />

PZT Plate<br />

CCD<br />

Vacuum<br />

(~7.5Pa)<br />

Fig. 8. Experimental setup for vibration mode localization characterizations.<br />

Lens<br />

1<br />

2<br />

3<br />

4<br />

5<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

V. PRELIMINARY CHARACTERIZATIONS<br />

All measurements were performed in a vacuum of ~7.5 Pa<br />

at room temperature, as shown in Fig. 8. The sample was<br />

mounted on a piezoelectric ceramic plate, which can be<br />

vibrated by applying an AC voltage using a signal generator.<br />

The vibration of the cantilevers was measured using a laser<br />

Doppler system. The frequency responses were measured using<br />

frequency counters.<br />

The measured resonant frequency and corresponding<br />

amplitude under vibration mode localization has been typically<br />

shown in Fig. 9 for cantilever No.5. It can be seen that the<br />

amplitude response (12.7 dBm) was greatly magnified by<br />

vibration mode localization when driven by its resonant<br />

frequency of 209.50 kHz. As summarized in Fig. 10, although<br />

cantilevers No.3, No.4, and No.5 show the same resonant<br />

frequency of 209.50 kHz and are thus believed to be fabricated<br />

geometrically perfect, cantilever No.5 is connected by one<br />

coupling overhang rather than two like the others. This is the<br />

only different point among the above three cantilevers and<br />

might account for why cantilever No.5 displays a relatively<br />

great amplitude by vibration mode localization. Therefore,<br />

cantilever No.5 is suitable to be used as a detecting cantilever,<br />

and the neighbored cantilever No.4 can then be used as a<br />

sensing one for adding a small mass perturbation. A similar<br />

result can also be observed between cantilever No.1 and No.2,<br />

which needs further studied. However, micro-fabrication errors<br />

will inevitably cause differences in the cantilevers.<br />

Amplitude (dB)<br />

Vibration power [dBm]<br />

10<br />

0<br />

-<br />

10<br />

-<br />

20<br />

-<br />

30<br />

-<br />

40<br />

-<br />

50<br />

-<br />

60<br />

-<br />

70<br />

-<br />

80<br />

-<br />

90<br />

Cantilever No.5<br />

Driving frequency [kHz]<br />

Fig. 9. The amplitude response (12.70 dBm) of cantilever No. 5 was greatly<br />

magnified by vibration mode localization when driven by its resonant<br />

frequency of 209.50 kHz.<br />

15<br />

10<br />

5<br />

0<br />

-5<br />

-10<br />

-15<br />

209<br />

0 1 2 3 4 5 6<br />

210.5<br />

209.5<br />

Cantilever's number<br />

Fig. 10. The amplitude response and the resonant frequency corresponding to<br />

cantilever’s number summarized from the measurements typically shown in the<br />

above Fig. 9.<br />

1st<br />

2nd<br />

3rd<br />

Ave<br />

Freq<br />

211<br />

210<br />

Frequency (kHz)<br />

342


VI.<br />

CONCLUSIONS<br />

The structural design of coupled beam-shaped resonator<br />

arrays to achieve a 5 times (compared to single resonator) of<br />

amplitude enhancement has been performed theoretically. The<br />

effect of different geometrical designs of the coupling overhang<br />

on the relative change (%) of amplitude shifts has been studied<br />

for each vibration mode before and after a small mass<br />

perturbation of 10 pg.<br />

A preliminary characterization using a micro-fabricated<br />

5-resonator array without a small mass perturbation has been<br />

further conducted. The measured amplitude response (12.7<br />

dBm) of cantilever No. 5 was greatly enhanced by vibration<br />

mode localization and can thus be used as the detecting<br />

cantilever, while the neighbored cantilever No.4 can then be<br />

used as the sensing one for next examination.<br />

ACKNOWLEDGEMENT<br />

Part of this work was supported by MEMS Inter<br />

University Network and performed in the Ubiquitous MEMS &<br />

Micro Engineering Research Center (UMEMSME) of National<br />

Institute of Advanced Industrial Science & Technology (AIST).<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

REFERENCES<br />

[1] K. L. Ekinci, X. M. H. Huang, and M. L. Roukes, Appl. Phys. Lett.<br />

84, 4469, 2004.<br />

[2] T. Ono, X. Li, H. Miyashita, and M. Esashi, Rev. Sci. Instrum. 74,<br />

1240, 2003.<br />

[3] Z. Davis and A. Boisen, Appl. Phys. Lett. 87, 013102, 2005.<br />

[4] H. Sone, Y. Fujinuma, and S. Hosaka, Jpn. J. Appl. Phys. Part 1 43,<br />

3648, 2004.<br />

[5] B. Ilic, D. Czaplewski, M. Zalalutdinov, and H. G. Craighead, J.<br />

Vac. Sci. Technol. B 19, 2825, 2001.<br />

[6] M. Spletzer, A. Raman, A.Q. Wu, X. Xu, and R. Reifenberger, Appl.<br />

Phys. Lett. 88, 254102, 2006.<br />

[7] M. Spletzer, A. Raman, H. Sumali and J.P. Sullivan, Appl. Phys.<br />

Lett., 92, 114102, 2008.<br />

[8] P. W. Anderson. Phys. Rev. 109, 1492, 1958.<br />

[9] C. Pierre, D. M. Tang, and E. H. Dowell, AJAAJ. 25, 1249, 1987.<br />

[10] O. O. Bendiksen, AJAAJ. 25, 1492, 1987.<br />

[11] M. Sato, B. E. Hubbard, A. J. Sievers, B. Ilic, D. A. Czaplewski, and<br />

H. G. Craighead, Phys. Rev. Lett. 90, 044102, 2003.<br />

[12] E. Buks and M. L. Roukes, J. Microelectromech. Syst. 11, 802,<br />

2002.<br />

[13] M. Napoli, W. H. Zhang, K. Turner, and B. Bamieh, J.<br />

Microelectromech. Syst. 14, 295, 2005.<br />

[14] L. Nicu and C. Bergaud. J. Micromech. Microeng. 14, 727, 2004.<br />

[15] A. Qazi, D. Nonis, A. Pozzato, M. Tormen, M. Lazzarino, S.<br />

Carrato, and G. Scoles, Appl. Phys. Lett. 90, 173118, 2007.<br />

343


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Stress Identification of Thin Membrane Structures by<br />

Dynamic Measurements<br />

Steffen Michael 1 , Christoph Schäffel 1 , Sebastian Voigt 2 , Roy Knechtel 3<br />

1 IMMS GmbH, Ehrenbergstr. 27, 98693 Ilmenau, Germany<br />

2 TU Chemnitz, Chair in Microsystems and Precision Engineering, Reichenhainer Str. 70, 09107 Chemnitz, Germany<br />

3 X-FAB Semiconductor Foundries AG, Haarbergstr. 67, 99097 Erfurt, Germany<br />

A fast identification method of membrane stresses is<br />

investigated for an early stage of the manufacturing process.<br />

The approach consists of performing optical measurement of<br />

the out-of-plane modal responses of the membrane. This<br />

information is used in an inverse identification algorithm<br />

based on a FE model by an optimization.<br />

I. INTRODUCTION<br />

The development of the two criteria costs and reliability<br />

is essential for the further growth of the MEMS market like<br />

microphones. Efficient test procedures on wafer level can<br />

reduce costs significantly by the detection of faulty sensors<br />

before the subsequent packaging and assembly steps. The<br />

presented method deals with an approach for a fast and<br />

accurate stress identification of thin membranes by using<br />

the sensitivity of their modal frequencies versus the stress.<br />

MEMS devices usually do not permit direct parameter<br />

measurement of mechanical parameters. The indirect<br />

parameter identification by modal frequencies was first<br />

presented in [1], [2]. Up to now the approach is used mostly<br />

for the identification of geometrical parameters like<br />

membrane thicknesses [3], [4]. In this case the approach<br />

competes against other methods like optical ones. In<br />

contrast the method has a unique feature with regard to the<br />

identification of tensile stressed membranes like<br />

microphones – another non-destructive method on wafer<br />

level is not known.<br />

Perforated circular SiN membranes with a thickness of<br />

300 nm and a diameter of 1000 µm are investigated. The<br />

perforation is required by the technology – the membrane<br />

structure is deposited on a sacrificial layer which is<br />

removed at the end of the processing through the<br />

perforation holes. The formed cavity with a height of 1µm<br />

causes a squeeze film damping in conjunction with an<br />

absent resonance rice under ambient atmosphere.<br />

Correspondingly the measurements are done in a vacuum<br />

prober.<br />

II. HARDWARE SETUP<br />

The measurement setup consists on a vacuum probe<br />

station from Cascade and a laser Doppler vibrometer<br />

integrated in the Micro System Analyzer MSA500 from<br />

Polytec. The laser beam of the vibrometer scans<br />

automatically over a user defined grid at the surface of the<br />

membrane.<br />

Fig. 1: Measurement setup<br />

The vibration of passive devices like the membrane<br />

structures is realized by electrostatic forces. A probe needle<br />

is connected to a high voltage (up to 400V) excitation signal<br />

controlled by a chirp signal of the measurement system. The<br />

needle is positioned above the device surface. With respect<br />

to a high excitation force the gap between the needle and<br />

the membrane is smaller than 100µm. The setup permits the<br />

excitation of modal frequencies up to 4MHz.<br />

III. IDENTIFICATION ALGORITHM<br />

The approach can be subdivided into three different<br />

phases. First of all a sensitivity analysis has to be done to<br />

check whether the modal frequencies are sensitive versus<br />

the interesting parameters. In case of a multidimensional<br />

problem the orthogonality of the parameter space has to be<br />

tested furthermore.<br />

Following to the sensitivity analysis a characterization<br />

phase is done. Frequency response functions (FRF) are<br />

measured with a fine grid of measurement points to check<br />

the mode shapes and adapt the finite element (FE) model if<br />

needed.<br />

The results shown here refer to measurement data of the<br />

characterization phase. In case of testing complete wafers<br />

the measurement time should be minimized. The<br />

measurement time depends proportional on the number of<br />

measurement points. The identification approach is based<br />

on frequency values which permits the reduction of<br />

measurement points to one.<br />

344


o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

Check applicability<br />

Analytic / FE- modelling<br />

Check sensitivity<br />

Check orthogonality<br />

Development of test structures<br />

Characterization<br />

Fine grid of measurement points<br />

Selection of frequency modes for<br />

identification<br />

Parametet identification & validation<br />

Adaption of FE model<br />

Wafer-Test<br />

Fig. 2: Phases of the parameter identification<br />

The measurement time of a one point measurement is 2<br />

seconds. The measurement respectively software system is<br />

not yet optimized, the lower measurement time limit given<br />

by physics is about 200 milliseconds.<br />

Precondition for the identification is on one hand the<br />

measurement unit which delivers a FRF, and the simulation<br />

unit with a parameter matrix as result on the other hand. The<br />

automatic identification is done by a tool implemented in<br />

C++ with respect to a fast data processing. The<br />

identification tool can be structured into three submodules.<br />

The frequency values has to be extracted from the measured<br />

FRF which is done in one submodule, and the parameter<br />

matrix is approximated by usually polynomials in another<br />

submodule due to a fast and efficient data handling. Based<br />

on an user defined accuracy (default value 0.1%) the degree<br />

of the polynomial is selected by the program.<br />

Finally the optimization respectively identification is<br />

realized by the nonlinear least square method.<br />

Measurement<br />

system<br />

Frequency<br />

response<br />

Peak detection<br />

Identification tool<br />

Optimization<br />

FE-Simulation<br />

Polynomial<br />

approximation<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

first step a conventional algorithm searches for local maxima<br />

considering the estimated signal-to-noise ratio (SNR). At the<br />

peaks found, starting values for a nonlinear least square fit to<br />

the Lorentzian function<br />

Parameter<br />

matrix<br />

i<br />

2<br />

, ih<br />

LfL<br />

, ip 2 2<br />

,<br />

)(<br />

+−<br />

, i hi<br />

)(<br />

f<br />

= (1)<br />

fff<br />

with the peak amplitude L p,i , the peak frequency f p,i and the<br />

half-width f h,i. of the ith peak are estimated. The iterative<br />

fitting procedure based on Levenberg-Marquardt algorithm<br />

eliminates wrongly preselected peaks and delivers the peak<br />

parameter including the quality factor.<br />

A. FE Modeling and Simulation<br />

The FE model which delivers the parameter matrices is<br />

implemented in Ansys. The ratio thickness to lateral<br />

dimension of the membrane leads to a modeling by twodimensional<br />

shell elements. The default mesh of the<br />

membrane perforated by several thousand holes will be<br />

irregular. To prevent such an inefficient irregular mesh<br />

substructures are generated. Square areas with a centered<br />

hole permit a regular meshing.<br />

Fig. 4: FE modell with prestructured membrane elements<br />

A prestressed modal analysis as well as a prestressed<br />

harmonic analysis is performed. The multitude of small<br />

structures causes a large number of finite elements<br />

respectively nodes. With regard to the measurement time the<br />

membrane symmetry is used by the calculation of a quarter<br />

model. Symmetric boundary conditions are applied to the<br />

static analysis. The modal analysis is executed with three<br />

load steps with different symmetry conditions at the x and y<br />

axes (symmetric/symmetric, asymmetric/symm. and<br />

asym./asym.) to deliver all modal frequencies .<br />

For the modeling of the squeeze film damping the<br />

corresponding element types of Ansys are used. The macro<br />

RMFLVEC.MAC which extracts the damping parameters<br />

from the modal frequencies is adapted to the quarter model<br />

with the multiple loadsteps.<br />

Sensor parameter<br />

Fig. 3: Structure of the parameter identification<br />

From the measured FRF, the peak frequency values are<br />

extracted automatically by a two level algorithm. Within a<br />

a) f 11 b) f 12<br />

Fig. 5: Simulated modal frequencies<br />

345


IV.<br />

A. Simulation and Sensitivity Analisys<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

STRESS IDENTIFICATION<br />

With respect to the identification phases a sensitivity<br />

analysis is done for the membrane structure. Parameters<br />

which have to be considered beside the interested ones are<br />

parameters with relevant tolerance ranges. The membrane<br />

thickness is such a parameter – due to technological reasons<br />

the thickness varies within a range of ±5%.<br />

(∂ f 1<br />

/∂ h) ∆ h/f 1<br />

[%]<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

f 1<br />

[kHz]<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

0.42<br />

Fig. 6: First modal frequency versus membrane thickness and stress<br />

As is apparent from Fig. 6 which show the results of the<br />

two dimensional parameter simulation for the first modal<br />

frequency the most sensitive parameter is the stress. An<br />

approximation of the functional dependency is done with<br />

regard to a quantitative analysis. The default expansion is a<br />

polynomial one. In this case rational functions are used for<br />

the stress motivated by the plate theory [5] on the one hand<br />

and the curve characteristic of Fig. 6 on the other hand The<br />

frequency mode f i,j is given by<br />

with the membrane thickness h and the stress s.<br />

Based on partial derivatives of the approximated course<br />

of the function the sensitivity of the modal frequencies<br />

versus the parameters is determined. Fig. 7 shows the<br />

sensitivity normed on the maximum thickness variation of<br />

5%. In case of a tensile stressed membrane the varying<br />

thickness can be neglected – a relevant sensitivity of the<br />

modal frequencies versus the thickness is given only in case<br />

of a stress-free or compressive stressed membrane.<br />

0<br />

0 2 4 6 8 10 12 14 16 18 20<br />

s [MPa]<br />

Fig. 7: Normed sensitivity of the first modal frequency versus membrane<br />

thickness<br />

B. Measurement Results<br />

Measurements are done at three different wafers at a<br />

pressure range between 0.005 mbar and 0.1 mbar. The<br />

pressure range is determined by the resonance rice on one<br />

hand and a minimal peak width to be detectable by the FFT<br />

on the other hand. The measured quality factors show a<br />

good accordance with the simulated ones given by the<br />

harmonic analysis of the FE model.<br />

0.41<br />

20<br />

0.4<br />

15<br />

10<br />

0.39<br />

5<br />

z [µm] 0.38 0<br />

s [MPa]<br />

10 5 measurement data<br />

simulated data<br />

10 4<br />

10 3<br />

2/1<br />

ji 1,<br />

2<br />

++=<br />

3<br />

),(),(),(<br />

sjipsjipjip f<br />

3/1<br />

+<br />

4<br />

5<br />

6<br />

⋅++<br />

),( shjiphjip sjip 10 2<br />

(2)<br />

10 -5 10 -4 10 -3 10 -2 10 -1 10 0<br />

2/1<br />

3/1<br />

p [mbar]<br />

7<br />

8<br />

),(<br />

⋅+⋅+<br />

shjipshjip<br />

Q-factor<br />

Fig. 8: Q-factor versus ambiance pressure<br />

The first three modal frequencies are used for the<br />

identification of the membrane stress. Mode shapes are<br />

investigated at some samples to guarantee the right<br />

classification of the frequency peaks to the corresponding<br />

modes.<br />

Fig. 9: Measured mode shape f 1,1<br />

346


C. Identification Results<br />

The identified tensile stresses at 36 measured dies vary<br />

between 24MPa and 81MPa due to their different position at<br />

the test wafers.<br />

TABLE 1<br />

IDENTIFIED STRESS OF MEMBRANE SAMPLES<br />

f 1,1<br />

[kHz]<br />

f 1,2<br />

[kHz]<br />

f 2,2<br />

[kHz]<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

s r [MPa]<br />

55.2 88.1 117,3 28.75 ± 0.33<br />

60.9 97.2 130.5 34.99 ± 0.16<br />

69.9 109.7 147.1 45.57 ± 0.98<br />

69.1 110.2 148.0 45.50 ± 0.10<br />

[4] Michael, S. at al, “MEMS parameter identification on wafer level<br />

using laser Doppler vibrometry”, Smart Systems Integration 2007,<br />

Editor T.Gessner, VDE Verlag, 2007, pp. 321-328<br />

[5] Dickinson, S.M., “The Buckling and Frequency of Flexural<br />

Vibration of Rectangular Isotropic and Orthotropic Plates Using<br />

Raleigh’s Method”, Journal of Sound and Vibration, 1978, 61(1),<br />

pp. 1-8<br />

The identification is based on the first three modal<br />

frequencies which results in an over-determined problem<br />

which permits a quantitative evaluation of the identification<br />

results. Theoretically the stress values should be identically;<br />

practically measurement and modeling errors will cause<br />

different values. The particular stress values differ within a<br />

range of 2% which shows a good model quality.<br />

90<br />

80<br />

Wafer 1<br />

Wafer 2<br />

Wafer 3<br />

70<br />

s r<br />

[MPa]<br />

60<br />

50<br />

40<br />

30<br />

20<br />

0 20 40 60 80 100<br />

Die index<br />

Fig. 10: Identified stress at test wafers across the x axes<br />

V. CONCLUSION<br />

We have presented an approach for the fast and accurate<br />

stress identification of thin membranes which the uses the<br />

sensitivity of their modal frequencies versus stress. The<br />

approach is well suited for an efficient process control of<br />

stress sensitive membranes like microphones on wafer level.<br />

REFERENCES<br />

[1] Smith, N.F. et al, “Non-Destructive Resonant Frequency<br />

Measurement on MEMS Actuators”, 39 th Annual International<br />

Reliability Physics Symposium, Orlando, FL, USA, 2001,<br />

Proceedings, pp. 99-105<br />

[2] Tanner, D.M. et al: “Resonant frequency method for monitoring<br />

MEMS fabrication”, Reliability, Testing and Characterization of<br />

MEMS/MOEMS II, San Jose, CA, USA, 2003, Proceedings, pp.<br />

220-228<br />

[3] Gerbach, R. et al: „Numerical Identification of Geometric<br />

Parameters from Dynamic Measurement of Grinded Membranes<br />

on Wafer Level”, 7 th Conf. on Thermal, Mec.l and Multiphysics<br />

Simulation and Experiments in Micro-Electronics and Micro-<br />

Systems EuroSimE, Como, Italy, 2006, Proceedings, pp. 223-228<br />

347


11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

Electrical and Mechanical Characterization<br />

of Lateral NEMS Switches<br />

R. Hinchet 1 , L. Montès 1 , G. Bouteloup 1 , G. Ardila 1 ,<br />

R. Parsa 2 , K. Akarvardar 2,3 , R. T. Howe 2 , H.-S. Philip Wong 2<br />

1 IMEP-LAHC, Grenoble Institute of Technology, MINATEC, 3 Parvis Louis Néel, 38016 Grenoble, France<br />

2 Department of Electrical Engineering, Stanford University, CA 94305, USA<br />

3 Present affiliation: Globalfoundries/SEMATECH, Albany, NY 12203, USA<br />

Ronan.Hinchet@minatec.inpg.fr Ph : +33 456 529 516<br />

Abstract: In this paper we present a study on the<br />

electrical and mechanical characterization of NEMS<br />

Nano Switches. Pull-in, pull-out voltages are in good<br />

agreement with the theoretical values. However some<br />

reliability and sticking problems are identified. To<br />

investigate furthermore the mechanical properties of<br />

the nanoswitch (NS) beam, we have developed a<br />

dedicated AFM methodology to extract the Young's<br />

modulus. A further improvement of the design is<br />

then realized based on the results of this study.<br />

Fig. 1. Structure of a NS. (Source (S), Gate (G), Drain (D)).<br />

Beam: 300nm width, 1100 nm thick and 20 µm length.<br />

Keywords: NEMS, Nano-switches, Young's<br />

modulus, mechanical characterization, AFM.<br />

I. INTRODUCTION<br />

Alternative device architectures and solutions might be<br />

required to continue the trend described by Moore's Law.<br />

NEMS Nano-Switch (NS) [1,2], may open ways for even<br />

more complex circuits using fewer components, while<br />

lowering the energy consumption due to the complete absence<br />

of electrical leakage in the off-state [3]. In this paper we study<br />

electrical and mechanical properties of such NS (Fig. 1). The<br />

NS concept is based on controlling the current through a<br />

mobile beam between electrodes similar to source (S) and<br />

drain (D) in a standard MOS device. A gate (G) voltage<br />

controls the lateral switch by electrostatically attracting the<br />

beam. According to theory, the non-linear behavior of<br />

electrostatic forces and Van der Waals forces between the<br />

beam and the drain should lead to an hysteresis of the I-V<br />

characteristics. The NS was fabricated using one-mask<br />

process. It started with a 1.5µm thick LTO and 1.1µm thick<br />

doped-poly-silicon deposition, followed by an RTA at<br />

1075°C. The poly-silicon was patterned using deep-UV<br />

lithography and a reactive-ion-etch (RIE) [4,5]. The<br />

underlying SiO2 sacrificial layer was then removed using a<br />

49% wet HF release to free-stand the beam. Critical Dry Point<br />

(CDP) was used to avoid sticking. We checked the beam<br />

release and CDP process with Scanning Electron Microscope<br />

(SEM) observations (Fig. 2). In most of the cases beams were<br />

correctly release. We just noted an over-etching (Fig. 3) on the<br />

edge of the connection pads which depends on the length and<br />

the concentration of the wet HF release step.<br />

Fig. 2. Scanning Electron Microscopy image of a NS.<br />

Fig. 3. Scanning Electron Microscopy image of the side of a NS.<br />

II.<br />

ELECTRICAL CHARACTERIZATION<br />

Electrical results clearly demonstrated the lateral actuation<br />

of the beam (Fig. 4), while showing slightly lower pull-in<br />

voltage Vpi and pull-out voltage Vpo (Fig. 5) than expected<br />

by theory [1,2]. We noted the importance of the atmospheric<br />

environment: care must be taken especially with humidity,<br />

working under nitrogen or vacuum ambient lead to an<br />

improved yield. We also experienced, on some NS, difficulties<br />

to switch on or off (Fig. 6), with part of the NS beam sticking<br />

or staying stuck to the drain.<br />

348


11-13 May 2011, Aix-en-Provence, France<br />

<br />

Experimentally, we first made a topographic image of the<br />

NS device. Then a force was applied at different points along<br />

the beam axis (Fig. 8). We used a specific XYZ feedback loop<br />

to ensure very precise XY localization of the AFM tip on the<br />

NS beam, the Z loop allowing for very precise control of the<br />

applied mechanical force on the NS beam.<br />

Fig. 4. SEM image of a NS at the ON state.<br />

Fig. 7. Schematic of the young<br />

modulus extraction model.<br />

Fig. 5. Experimental electrical characterization of a NS.<br />

Measurement parameters: V S=0V, V D=3V, compliance 1nA.<br />

Fig. 8. AFM image of a NS with the position of approach-retract curves.<br />

Fig. 6. Experimental electrical characterization of a NS.<br />

The beam staying stuck to the drain after ON state, as shown in Fig. 4.<br />

Measurement parameters: V S=0V, V D=3V, compliance 1nA.<br />

III. AFM MECHANICAL CHARACTERIZATION<br />

Mechanical properties of the polysilicon beam were<br />

investigated by vertical and local approach-retract curves<br />

obtained with an AFM. From the Euler-Bernoulli equation<br />

(eq. 1) we calculated the relation between the<br />

force applied by<br />

the AFM tip and the beam deflection ∆z (Fig. 7) [6], where x<br />

is beam axis, u is the position along x where the force is<br />

applied, E is the Young's modulus, F is the applied force, Iy is<br />

the beam moment (considering a rectangle cross section), l is<br />

the beam length, w is the beam width and t is the beam<br />

thickness. To calculate the solution to the equation 1 we<br />

considered the particular case where we applied the force at<br />

the end of the beam.<br />

Fig. 9. Approach-retract cur<br />

rve at point 1 (cf. Fig. 8).<br />

Fig. 10. Approach curves at<br />

points 1 to 7 (cf. Fig. 8).<br />

<br />

349


11-13 May 2011, Aix-en-Provence, France<br />

<br />

35% (Fig. 12). Finally the side and the edge are not exactly<br />

The approach-retract curves (Fig. 9 & 10) show the tip straight, thus changing the beam<br />

section shape and also Iy.<br />

cantilever deflection in function of the AFM<br />

Z piezoelectric<br />

position. During the approach, the AFM head goes down on<br />

the sample. The tip cantilever does not move until it touches<br />

the sample. In effect we observe a flat part on curves point 1<br />

to 5 (Fig. 8). The shift and the absence of<br />

this flat part on<br />

curves point 6 and 7 indicate that the sample<br />

was tilted. After<br />

touching the sample, the AFM head approach is compensated<br />

by the tip cantilever deflection at point 1 and by the beam<br />

deflection at point 7. So at point 1 the slop angle of the curve<br />

is about 45° because there is no beam to absorb the tip<br />

cantilever deflection which is the same that the AFM head<br />

position (after had touched the sample). Conversely at point 7<br />

a part of the AFM head movement is compensated by the<br />

beam deflection. So the tip cantilever deflection is lower and<br />

the slop angle of the curve is lower than at previous points.<br />

From these results, we calculated the force applied by the<br />

AFM tip on the beam (F AFM TIP ) and the deflection of beam<br />

(∆z beam ). We considered that we measured the Young modulus<br />

of the bending part of the beam (i. e. from the beam anchor to<br />

the AFM probe contact point). Thus in our model, the beam<br />

length depends on where we measured the<br />

Young modulus<br />

along the beam. From the curve ∆z beam (F AFM TIP) and using the<br />

beam design dimensions (400nm width and 1100 nm<br />

thickness), we extracted a beam Young's modulus E of 70 GPa<br />

(Fig. 11A). This value is much lower than<br />

the mean value<br />

from the literature, between 130 and 170 GPa depending on<br />

measurement methods, crystal orientation and testing devices<br />

(bulk, thin film, beam) [7,8,9].<br />

Fig. 11. Extraction of the beam young modulus. A)<br />

based on design<br />

dimensions. B) based on measured dimensions.<br />

Such a difference can be explained by<br />

the polysilicon<br />

deposition process [10] but the main reason<br />

is the difference<br />

on the real dimensions of the beam compared to the design.<br />

SEM images showed that the HF etching step to release the<br />

beam created an over-etching on the edge of the connection<br />

pads (Fig. 3) giving them flexibility. Moreover we extracted<br />

the accurate dimensions of beams which are thinner and<br />

narrower than expected due to fabrication process (polysilicon<br />

deposition and etching). Therefore the beam<br />

is more flexible,<br />

which explains the lower Vpi and Vpo observed previously.<br />

The beam length is an important parameter (see eq. 1) but<br />

above all the thickness and the width (Fig. 7) are very critical<br />

(affecting Iy) (eq. 1). A beam 110nm thinner<br />

than designed (-<br />

10%) generates a Young modulus miscalculation higher than<br />

Fig. 12. Young modulus miscalculation depending of the beam thickness<br />

error.<br />

Therefore, taking into account the real beam dimensions<br />

obtained by SEM and AFM, we found a beam Young's<br />

modulus of 140 GPa (Fig. 11B) ), which is in better agreement<br />

with the literature [11,12,13]. We noted the apparent variation<br />

of the Young modulus as a function of the distance from the<br />

beam anchor, even though the Young modulus is a material<br />

property supposed to be constant. This could be due to the<br />

influence of the indentation phenomenon and the elastic<br />

deformations of the material in our measurement method. To<br />

measure the Young modulus of the beam, we measured the<br />

absorption of the AFM cantilever deflection by the beam. At<br />

the end of the beam, this absorption is mainly due to the beam<br />

bending. The indentation phenomenon and the elastic<br />

deformations are negligible. On the other end, near the anchor,<br />

they are less negligible compared to the beam bending. Thus<br />

the total absorption is higher than the absorption due to the<br />

beam bending only, so that the<br />

beam appears more flexible<br />

than in reality, explaining the lower than expected extracted<br />

Young modulus. This explains the constant increase of the<br />

Young modulus with the distance from the beam anchor.<br />

Based on the same principle, we<br />

think that the influence of the<br />

over-etching is more pronounced near the anchor than at the<br />

end of the beam. It makes the beam appear more flexible than<br />

expected and therefore underestimation of the Young modulus<br />

again. Nevertheless this Young modulus measurement method<br />

allowed to improve the beam<br />

design and structure with<br />

different materials, to study the<br />

mechanical reliability of the<br />

beam, and is helpful to improve the electromechanical<br />

behavior of the NS device.<br />

350<br />

<br />

IV.<br />

IMPROVEMENT AND PERSPECTIVES<br />

AFM electrical characterization of the NS beam is<br />

important to better understand what happens at the nano-scale<br />

during a switching event. To perform in-situ AFM<br />

electromechanical characterization [14,15] we have improved<br />

the design of the chip, by routing the electrical signals of the<br />

different pads (Source, Gate and<br />

Drain) to the edge of the chip<br />

in order to observe the beam working without disturbing the<br />

AFM tip (Fig. 13). In addition, we have also changed the<br />

structure of the beam with the deposition of a thin layer of<br />

platinum (Fig. 14) on the pads and on all the sidewalls of the


eam to improve the electrical contacts and to solve the<br />

problems of oxidation caused by humidity which disturb and<br />

stick beams (the metal wall covering is explained in [4,5]).<br />

Thanks to platinum the reliability and the yield of NS devices<br />

was clearly improved [5].<br />

11-13 May 2011, Aix-en-Provence, France<br />

<br />

<br />

ACKNOWLEDGEMENT<br />

Samples were fabricated and designed by Stanford<br />

University. They were finalized and customized at the<br />

Grenoble Up-line Technological Platform (PTA) which is cooperated<br />

by CEA & CNRS within the framework of the<br />

French Basic Technology Research (BTR) network. This work<br />

has been partly supported by the EU through the Network of<br />

Excellence NANOFUNCTION FP7/ICT/NoE (95145). The<br />

author would like to thank Xavier Mescot, Martin Gri, Remy<br />

Lefevre and Xin Xu for their valuable help.<br />

REFERENCES<br />

Fig. 13. Optical image of the improved design with electrical contacts routed<br />

to the edge of the chip.<br />

Fig. 14. SEM image showing thin platinum layer coating on the NS side walls<br />

to improve the device structure.<br />

V. CONCLUSION<br />

The NS electrical characterization showed a correct<br />

behavior, while highlighting a few problems. A deeper<br />

analysis using AFM and SEM techniques showed small<br />

differences from the designed structure. NS mechanical<br />

properties were investigated and the beam Young's modulus<br />

was extracted taking account of the real sample characteristics<br />

and dimensions which are relevant. NS structure and design<br />

was improved. The reliability has been increased and the<br />

electrical behavior was better. Finally this innovative<br />

technique of characterization will help us to explore new<br />

fields of NEMS [16].<br />

[1] K. Akarvardar, et al., "Analytical Modeling of the Suspended-Gate FET<br />

and Design Insights for Low-Power Logic," IEEE Transactions On<br />

Electron Devices, vol. 55, no. 1, p. 48, 2008.<br />

[2] K. Akarvardar, et al., "Design Considerations for Complementary<br />

Nanoelectromechanical Logic Gates," IEDM, pp. 299-302, 2007.<br />

[3] S. Chong, et al., "Nanoelectromechanical (NEM) Relays Integrated with<br />

CMOS SRAM for Improved Stability and Low Leakage," in ICCAD<br />

International Conference on Computer-Aided Design, 2009.<br />

[4] D. Lee, et al., "Titanium nitride sidewall stringer process for lateral<br />

nanoelectromechanical relays," in MEMS 2010, IEEE 23rd International<br />

Conference, Hong Kong, 2010, pp. 456-459.<br />

[5] R. Parsa, et al., "Composite Polysilicon-Platinum Lateral<br />

Nanoelectromechanical Relays," in 14th Solid-State Sensors, Actuators,<br />

and Microsystems Workshop, Hilton Head, South Caroline, 2010, pp. 7-<br />

10.<br />

[6] W. C. Young and R. G. Budynas, Roark's Formulas for Stress and<br />

Strain, 7th ed., McGraw-Hill, Ed. 2002 .<br />

[7] K. R. Virwani, A. P. Malshe, W. F. Schmidt, and D. K. Sood, "Young’s<br />

modulus measurements of silicon nanostructures using a scanning probe<br />

system: a non-destructive evaluation approach," Smart Materials and<br />

Structures, vol. 12, no. 6, pp. 1028-1032, 2003.<br />

[8] M. A. Hopcroft, W. D. Nix, and T. W. Kenny, "What is the Young’s<br />

Modulus of Silicon," Journal Of Microelectromechanical Systems, vol.<br />

19, no. 2, pp. 229-238, 2010.<br />

[9] C. S. Oh, H. J. Lee, S. G. Ko, S. W. Kim, and H. G. Ahn, "Comparison<br />

of the Young’s modulus of polysilicon film by tensile testing and<br />

nanoindentation," Sensors and Actuators A, no. 117, p. 151–158, 2005.<br />

[10] S. Lee, et al., "The effects of post-deposition processes on polysilicon<br />

Young's modulus," Journal of micromechanics and microengineering,<br />

vol. 8, no. 4, pp. 330-337, 1998.<br />

[11] A. San Paulo, J. Bokor, and R. T. Howe, "Mechanical elasticity of single<br />

and double clamped silicon nanobeams fabricated by the vapor-liquidsolid<br />

method," Applied Physics Letters, no. 87, p. 053111, 2005.<br />

[12] J. Wang, Q. A. Huang, and H. Yu, "Young’s modulus of silicon<br />

nanoplates at finite temperature," Applied Surface Science, no. 255, p.<br />

2449–2455, 2008.<br />

[13] C. H. Cho, "Characterization of Young’s modulus of silicon versus<br />

temperature using a ‘‘beam deflection” method with a four-point bending<br />

fixture," Current Applied Physics, vol. 9, p. 538–545, 2009.<br />

[14] L. Montès, et al., "AFM Measurement of the Piezoelectric Properties of<br />

GaN and GaN/AlN/GaN Individual Nanowires," in MRS, San Francisco,<br />

2010.<br />

[15] L. Montès, et al., "Enhancing piezoresistivy and piezoelectricity in<br />

nanowire devices," in IEEE Nano 2010, 12th Nanowire Research Society<br />

Meeting, Seoul, 2010.<br />

[16] X. Xu, et al., "An improved AFM cross-sectional method for<br />

piezoelectric nanostructures properties investigation: application to GaN<br />

nanowires.," Nanotechnology, vol. 22, no. 10, p. 105704, 2011.<br />

351


11-13 May 2011, Aix-en-Provence, France<br />

<br />

A Dielectrophoretic Preconcentrator with Circular<br />

Microelectrodes for Biological Cells in Stepping<br />

Electric Fields<br />

Chun-Ping Jen and Ho-Hsien Chang<br />

Department of Mechanical Engineering,<br />

National Chung Cheng University,<br />

Abstract- The ability to enrich rare cells, e.g. circulating tumor<br />

cells (CTC), circulating fetal cells, and stem cells, has been an<br />

important issue in medical diagnostics and characterization. The<br />

main purpose of this investigation was to develop a handheld<br />

microdevice capable of the effective preconcentration of rare cells.<br />

Circular microelectrodes were designed to generate the stepping<br />

electric field by switching the electric field to an adjacent electrode<br />

pair by relays. The cancerous cells with positive dielectrophoretic<br />

response were not only conveyed but also concentrated toward the<br />

center of the circular microelectrodes because the<br />

high-electric-field region between the adjacent electrodes was<br />

gradually decreased in the direction of the stepping electric field.<br />

Numerical simulations of the electric fields were performed to<br />

demonstrate the concept of the proposed design. Moreover,<br />

enrichment of cervical cancer cells was successfully achieved and<br />

took about 160 seconds in the experiment with an approximate<br />

efficiency of 75%, when the peak-to-peak voltage of 16 volts, a<br />

frequency of 600 kHz and the time interval of relay switching with<br />

20 seconds were applied.<br />

Keywords: handheld; dielectrophoresis; enrichment; stepping<br />

electric field.<br />

I. INTRODUCTION<br />

Biological manipulation is essential to numerous<br />

biomedical applications, such as: the isolation and detection<br />

of rare cancer cells, concentration of cells from dilute<br />

suspensions, separation of cells according to specific<br />

properties, and trapping or positioning of individual cells for<br />

characterization. Among these applications, concentrating<br />

rare cells, such as circulating tumor cells (CTC), circulating<br />

fetal cells, and stem cells, has been an important technique in<br />

biological and clinical studies [1,2]. A highly sensitive and<br />

specific identification of CTC could prove helpful in the<br />

early diagnosis of invasive cancers [3]. The methods of CTC<br />

detection are generally divided into cytometric- and<br />

nucleic-acid-based techniques; however, both of these<br />

techniques require an enrichment and detection procedure<br />

[1,4]. Numerous methods for concentrating biological cells<br />

have been addressed in the relevant literature [5], such as<br />

immuno-affinity, filtration (ISET, Isolation by Size of<br />

Epithelial Tumor cells), fluorescent- (FACS,<br />

fluorescence-activated cell sorting) and magnetic-activated<br />

cell sorting (MACS, magnetic activated cell sorting), cell<br />

surface markers, optical tweezers, and dielectrophoresis.<br />

Dielectrophoresis (DEP) is achieved under a non-uniform<br />

Chia Yi, Taiwan, R.O.C.<br />

electric field generated by various electrode patterns.<br />

Previous studies on dielectrophoretic response adopted large<br />

electrodes, such as needles, pins, wires and sheets [6, 7].<br />

Microfabrication technology has been employed to create the<br />

microelectrode patterns in the studies on electrophoresis;<br />

thereby, sufficiently large DEP forces were generated to<br />

manipulate particles with small applied voltages. The<br />

different patterns of microelectrodes used for DEP have been<br />

reviewed in the relevant literature [8, 9]. The contactless and<br />

gentle forces on cells are produced by dielectrophoresis;<br />

therefore, it is particularly suitable for cell manipulation in a<br />

microchip [9]. The main aim of this study was to design a<br />

handheld device providing the stepping electric fields and a<br />

dielectrophoretic microchip with circular microelectrode for<br />

cellular preconcentration. Moreover, the preliminary<br />

experiment also aimed to demonstrate the feasibility of<br />

enriching cells with the proposed device.<br />

II. THEORY AND DESIGN<br />

The DEP force (F DEP ) acting on a spherical particle of<br />

radius R suspended in a fluid of permittivity ε , is given as:<br />

m<br />

3<br />

2<br />

DEP<br />

= 2 επ<br />

m<br />

Re(<br />

CM<br />

) ∇EfRF<br />

(1)<br />

where Re( f CM<br />

) is the real part of the Clausius-Mossotti<br />

factor; the magnitude of the electric field, E, may be replaced<br />

by E rms , which is the root-mean-square of the external field,<br />

in an alternating field. The Clausius-Mossotti factor (f CM ) is<br />

a parameter of the effective polarizability of the particle; it<br />

varies as a function of the frequency of the applied field (ω)<br />

and the dielectric properties of the particle and the<br />

surrounding medium. The Clausius-Mossotti factor for a<br />

spherical particle is represented as:<br />

**<br />

⎡ − εε ⎤<br />

mp<br />

f = ⎢ * * ⎥<br />

(2)<br />

CM<br />

⎣ p<br />

+ 2εε<br />

m ⎦<br />

*<br />

*<br />

where ε and<br />

p<br />

ε are the complex permittivity of the<br />

m<br />

particle and the medium, respectively. The complex<br />

permittivity is related to the conductivity σ and angular<br />

frequency ω through the formula:<br />

σ<br />

* εε j−≡<br />

ω<br />

( j 1−= ) (3)<br />

Therefore, the DEP force is dependent upon the dielectric<br />

properties of the particles and the medium solution, particle<br />

352


m<br />

m<br />

respectively, of the suspension medium. Based on the<br />

protoplast model, viable HeLa cells in a sucrose medium<br />

(ε r =78; σ=1.76×10 -3 S/m) exhibit a strongly positive<br />

dielectrophoretic response; i.e. the Clausius-Mossotti factor<br />

is 1.0, at high frequencies of 600 kHz [11].<br />

The circular microelectrodes were designed, and the<br />

operational concept of the cellular enrichment is illustrated in<br />

Fig. 1. When the electric field is applied to two adjacent<br />

microelectrodes, a high-electric-field region is generated<br />

between the electrode pair. The applied electric field is<br />

subsequently switched to the electrode pair next to the<br />

previous pair by relays from the peripheral to the center pair<br />

of microelectrodes; therefore, the stepping electric field is<br />

generated. The positive dielectrophoretic cells are conveyed<br />

along the direction of the stepping electric field due to the<br />

movement of the high-electric-field region to the center of<br />

the circular electrodes. The area of the high-electric-field<br />

region between adjacent electrodes is decreased gradually<br />

towards the center. As a result, the cells are not only<br />

conveyed but also concentrated on the central<br />

microelectrodes.<br />

III.<br />

EXPERIMENTAL SECTION<br />

A. Chip Fabrication<br />

A biocompatible material of polydimethylsiloxane<br />

(PDMS) was adopted as a microchamber in the microchip for<br />

cellular preconcentrating. The cellular microchip was<br />

designed, and a schematic illustration of the device is shown<br />

in Fig. 2a. The radius of the microchamber made of PDMS<br />

was 600 μm and the cells were introduced into the chamber<br />

using a pipette. Both the width and space of the electrodes<br />

were 30 μm, and the radius of curvature for the circular<br />

microelectrodes was 495 μm, as shown in Fig. 2b. The<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

size, and frequency of the applied electric field. It can be<br />

either a positive DEP, which pulls the particle toward the<br />

location of a high-electric field, or a negative DEP, which<br />

repels the particle away from the high-electric-field region.<br />

Dielectric properties of viable mammalian cells can be<br />

formulated by the protoplast model, which is based on a<br />

spherical particle consisting of a cytoplasm and a lossless<br />

capacitive membrane [10, 11]. The effective permittivity<br />

device was fabricated in-house, using standard soft<br />

lithography techniques. The cells were introduced into the<br />

chamber using a pipette. The electrodes were made by<br />

depositing chrome (20 nm) and gold (100 nm) sequentially<br />

on the slides, which were cleaned in a piranha solution (a<br />

mixture of sulfuric acid and hydrogen peroxide). The PDMS<br />

prepolymer mixture (Sylgard-184 Silicone Elastomer Kit,<br />

Dow Corning, Midland, MI, USA) was diluted with hexane<br />

could be derived by neglecting the conductance of the with a 1:5 (PDMS to hexane) weight ratio. The<br />

membrane in the protoplast model; thus, the hexane-diluted PDMS prepolymer, 3 μm in thickness, was<br />

Clausius-Mossotti factor for viable cells can be rewritten as: spin-coated onto the electrodes to avoid electrolysis and<br />

*2 *<br />

*<br />

ω ( τ τ τ τ jω()1)<br />

τ τ τ −−−+−<br />

mccm<br />

cmm<br />

(4) adherence of cells on the electrodes. The mold master was<br />

f ω)( −=<br />

CM<br />

2 * *<br />

*<br />

*<br />

2(<br />

mccm<br />

j<br />

m<br />

τττ<br />

cm<br />

−++−+<br />

2)2()<br />

fabricated by ωτ spinning SU8-50 (MicroChem ττ Corp. Newton, τω<br />

where mc<br />

Rcστ<br />

/ τ = ε / σ are the time MA, USA) onto the silicon wafer (around 100 μm in height)<br />

ccc to define the microchamber. The undiluted PDMS<br />

constants, while σ c<br />

and ε<br />

c<br />

are the electrical conductivity prepolymer mixture was poured and cured on the mold<br />

and permittivity of the cytoplasm, respectively. The master to replicate the microchamber. After the PDMS<br />

parameters of c<br />

m<br />

and R represent the effective capacitance<br />

replica had been peeled off, the inlet and outlet ports were<br />

made by a puncher, and the replica was bonded with the glass<br />

of the membrane and the radius of the cell, respectively. substrate after treatment of the oxygen plasma in the O 2<br />

*<br />

Moreover, the constants of τ m<br />

and τ<br />

m<br />

can be defined as plasma cleaner (Model PDC-32G, Harrick Plasma Corp.<br />

= /σετ<br />

mmm<br />

and Ithaca, NY, USA). The fabricated chip for the cellular<br />

= Rcστ<br />

/<br />

mmm<br />

, respectively, where<br />

σ and ε are the electrical conductivity and permittivity,<br />

preconcentration is shown in Fig. 3a. The image of the<br />

microelectrodes and microchamber taken by the optical<br />

microscope is shown in Fig. 3b.<br />

B. Apparatus<br />

Two 12-voltages DC (direct current) sources converted<br />

from the ordinary house current (110 VAC) by a transformer<br />

were used as the power supply for the handheld device. An<br />

IC MAX 038 (MAXIM, USA) voltage-frequency converter<br />

and an AD817 amplifier (Analog Devices, USA) were<br />

employed to design an AC signal source to apply the electric<br />

fields required for the dielectrophoretic enrichment in the<br />

microchamber. Moreover, an 8-bit microcontroller with a 4k<br />

byte flash (AT89C51, Atmel) was adopted to control the<br />

eight relays providing the stepping electric fields. The circuit<br />

module was made on a printed circuit board (PCB). The<br />

microchip was mounted on the handheld module, which<br />

generated the stepping electric field for the cellular<br />

preconcentration, as shown in Fig. 3c. The cells were<br />

observed and recorded by an inverted fluorescence<br />

microscope (Model CKX41, Olympus, Tokyo, Japan), a<br />

mounted CCD camera (DP71, Olympus, Tokyo, Japan), and<br />

a computer with Olympus DP controller image software.<br />

C. Cell Treatment<br />

A human cervical carcinoma cell line (HeLa cells) was<br />

cultured for an experimental demonstration of cellular<br />

preconcentration by the handheld microdevice proposed<br />

herein. The cells were serially passaged as monolayer<br />

cultures in DMEM Medium (Gibco, Grand Island, NY, US),<br />

with 3.7 g of NaHCO 3 per liter of medium added,<br />

supplemented with 10% fetal bovine serum (FBS, Gibco,<br />

Grand Island, NY, US), and 1% penicillin/streptomycin<br />

(Gibco, Grand Island, NY, US). The cell culture dish<br />

(Falcon, Franklin Lakes, NJ, US) was incubated in a<br />

humidified atmosphere containing 5% carbon dioxide at<br />

37°C, and the medium was replaced every 1 to 2 days. Cells<br />

353


grown to sub-confluence were washed with<br />

phosphate-buffered saline (PBS, Biochrome, pH 7.4) and<br />

harvested by a 5-min treatment with 0.25% Trypsin and<br />

0.02% EDTA (Sigma, US). The cells for the experiments<br />

were then suspended in a sucrose solution with an 8.62 wt%<br />

and a measured conductivity of 1.76×10 -3 S/m. For the<br />

dielectrophoresis of cells, the sucrose solution was employed<br />

to raise the osmolarity to the normal physiological level.<br />

IV. RESULTS AND DISCUSSION<br />

Numerical simulations of the electric field were performed<br />

using commercial software CFDRC-ACE + (ESI Group,<br />

France). The effect of the presence of particles on the<br />

electric field was not considered in the simulation for the<br />

sake of simplification. After applying 16 volts from the outer<br />

microelectrode pair to the central pair of microelectrodes<br />

subsequently, the simulated square of the electric field (E 2 )<br />

was revealed, as shown in Fig. 4. The numerical results<br />

indicate that the high-electric-field region moved to where<br />

the electric field was applied, and its area decreased<br />

according to the pattern of the microelectrodes. Therefore,<br />

HeLa cells with positive dielectrophoretic response were<br />

conveyed in the direction of the stepping electric field, which<br />

is toward the center of the microchamber and concentrated at<br />

the central pair of electrodes, demonstrating the realization of<br />

the concept of the present design. The preliminary<br />

experiment for cellular enrichment was investigated herein,<br />

as depicted in Fig. 5. The HeLa cells, with a concentration of<br />

5×10 5 cells/mL, were introduced into the microchamber<br />

using a pipette. About 50 cells were in the microchamber.<br />

The peak-to-peak voltage of 16 volts and a frequency of 600<br />

kHz were applied to the electrodes. The electric field at the<br />

peripheral pair of electrodes was turned on to aggregate the<br />

cells. The electric field was held for about 20 seconds and<br />

then switched to the next adjacent pair of electrodes. The<br />

duration of cellular concentration from the outermost to the<br />

central pair of microelectrodes was about 160 seconds. The<br />

experimental results indicate that the enrichment of HeLa<br />

cells were successfully exhibited at the center pair of<br />

microelectrodes. Furthermore, the experimental results<br />

indicate that the HeLa cells were successfully concentrated at<br />

the center pair of microelectrodes with an approximate<br />

efficiency of 75%.<br />

V. CONCLUSIONS<br />

A handheld device providing the stepping electric fields<br />

and a dielectrophoretic microchip with circular<br />

microelectrode for cellular enrichment was proposed and<br />

demonstrated in the present study. The positive<br />

dielectrophoretic cells were conveyed due to the movement<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

of the high-electric-field region. Furthermore, the cells were<br />

concentrated at the center pair of microelectrodes due to the<br />

fact that the area of the high-electric-field between the<br />

adjacent electrodes was gradually decreased from the<br />

peripheral to the center pair of microelectrodes. The<br />

preliminary experiment for cellular enrichment also<br />

indicated that the HeLa cells were successfully concentrated<br />

at the center pair of microelectrodes with an approximate<br />

efficiency of 75% when the time interval of relay switching<br />

was set at 20 s. The operational method of the cellular<br />

enrichment herein could enhance the sensitivity of further<br />

CTC detections. Besides, the handheld device presented in<br />

this work can be applied in point-of-care applications.<br />

ACKNOWLEDGMENT<br />

The authors would like to thank the National Science<br />

Council of the Republic of China for its financial support of<br />

this research under contract Nos.<br />

NSC-99-2923-E-194-001-MY3<br />

and<br />

NSC-99-2221-E-194-014. In addition, the National Center<br />

for High-Performance Computing for the use of computer<br />

time and its facilities is also acknowledged.<br />

REFERENCES<br />

[1] Mostert B., Sleijfer S., Foekens J.A., Gratama J.W.: “Circulating<br />

tumor cells (CTCs): Detection methods and their clinical relevance<br />

in breast cancer,” Cancer Treat. Rev., 2009, 35, pp. 463-474<br />

[2] Cheng X., Gupta A., Chen C., Tompkins R.G., Rodriguez W. and<br />

Toner M.: “Enhancing the performance of a point-of-care CD4+<br />

T-cell counting microchip through monocyte depletion for<br />

HIV/AIDS diagnostics,” Lab Chip, 2009, 9, pp. 1357-1364<br />

[3] Paterlini-Brechot P.and Benali N.L.: “Circulating tumor cells (CTC)<br />

detection: Clinical impact and future directions,” Cancer Lett., 2007,<br />

253, pp. 180-204.<br />

[4] Grodzinski P., Yang J., Liu R.H. and Ward M.D.: “A modular<br />

microfluidic system for cell pre-concentration and genetic sample<br />

preparation,” Biomed. Microdevices, 2003, 5, pp. 303-310<br />

[5] Dharmasiri U., Witek M.A., Adams A.A., and Soper S. A.:<br />

“Microsystems for the capture of low-abundance cells,” Ann. Rev.<br />

Anal. Chem., 2010, 3, pp. 409-431.<br />

[6] Pohl H.A.: Dielectrophoresis, Cambridge University Press, New<br />

York, 1978.<br />

[7] Jones T.B. and Kraybill J.P.: “Active feedback-controlled<br />

dielectrophoretic levitation,” J. Appl. Phys., 1986, 60, pp.<br />

1247-1252.<br />

[8] Ramos A., Morgan H., Green G.N. and Castellanos A.: “AC<br />

Electrokinetics: A review of forces in microelectrode structure,” J.<br />

Phys. D: Appl. Phys., 1998, 31, pp. 2338-2353.<br />

[9] Voldman J.: “Electrical forces for microscale cell manipulation,”<br />

Annu. Rev. Biomed. Eng., 2006, 8, pp. 425-454.<br />

[10] Jones T.B.: Electromechanics of particles, Cambridge University<br />

Press, New York, 1995.<br />

[11] Jen C.P. and Chen T.W.: “Selective trapping of live and dead<br />

mammalian cells using insulator-based dielectrophoresis within<br />

open-top microstructures,” Biomed. Microdevices, 2009, 11, pp.<br />

597-607.<br />

354


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Figure 1: Operation concept of the cellular enrichment by dielectrophoresis in<br />

the stepping electric field.<br />

Figure 4: Simulated results of square of the electric field (E 2 ) while the electric<br />

field was applied from the peripheral to the center pair of microelectrodes. The<br />

voltage applied to the electrode pair is 16 volts.<br />

(a)<br />

(b)<br />

Figure 2: (a) Schematic diagram of the cellular microchip using<br />

dielectrophoresis and (b) the dimensions of the circular microelectrodes.<br />

(a)<br />

(b)<br />

Figure 5: Experimental results of enrichment for HeLa cells. The peak-to-peak<br />

voltage and frequency applied was 16 volts and 600 kHz, respectively. The<br />

time interval of relay switching was 20 seconds. The duration from (a) to (i)<br />

was about 160s.<br />

(c)<br />

Figure 3: The images of: (a) the fabricated chip for cellular enrichment, (b)<br />

circular microelectrodes and (c) the complete handheld microchip with the<br />

electric module providing the stepping electric field.<br />

ISBN:978-2-35500-013-3<br />

<br />

355


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

A Novel SU-8 Microgripper with External Actuator<br />

for Biological Cells Manipulation<br />

M. Mehdi S. Mousavi 1, 2 , Giorgio De Pasquale 1 , Aurelio Somà 1 , Eugenio Brusa 1<br />

1 Department of Mechanics, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129, Torino, Italy<br />

2 Italian Institute of Technology, Center for Space Human Robotics, Corso Trento 21, 10129, Torino, Italy<br />

mehdi.mousavi@polito.it, giorgio.depasquale@polito.it, aurelio.soma@polito.it, eugenio.brusa@polito.it<br />

Abstract- Specification and target impose several limitations<br />

and difficulties in micro manipulators design. These obstacles<br />

are even more important when the target of microgripping is<br />

biological cells. Even though a variety of designs and solutions<br />

has been proposed in the literature, the problems of<br />

temperature at microgripper tip and applied voltage in<br />

gripping jaws are still unsolved. In this paper a new approach<br />

to eliminate this kind of problems in biological cells<br />

manipulation is introduced. The proposed kinematics is<br />

externally actuated and an optimization procedure based on<br />

FEM simulations has been performed to improve the micro<br />

gripper design. Some considerations on fabrication process<br />

show that the new approach can sensitively decrease the cost<br />

and time of fabrication processes, as well as the complexity of<br />

the technologies involved.<br />

I. INTRODUCTION<br />

Common micro grippers cannot be used to manipulate<br />

biological samples, such as living cells, because of their<br />

actuation methods. The actuation mechanism shall be<br />

suitable for operating in electrolytic aqueous media because<br />

of ionic environment of cells [1, 2]. This prerequisite limits<br />

the application of high voltage to actuator that is necessary<br />

in piezo-actuated grippers since bubble formation, caused<br />

by electrolysis, occurs at 1.5–2 volts in water [3]. Moreover,<br />

any exposure to magnetic or electrical fields may have some<br />

negative effects on biological cells. This also limits the<br />

application of electrostatic or electromagnetic actuated<br />

micro grippers. In addition, shape memory alloy (SMA)<br />

actuators are not a good candidate for micro grippers due to<br />

lack of reliability for a reasonable number of operations.<br />

Furthermore, the maximum allowed temperature for<br />

manipulation of human cells in many applications such as<br />

Intracytoplasmic Injection or Pro-nuclei DNA injection is<br />

around 37°C that is quite lower than the required high<br />

temperature (more than 100° C for bare extended arms of<br />

gripper) in an electro-thermal gripper. Therefore, even<br />

though electro-thermal actuators are of great interests<br />

among researchers for cell manipulation, they show many<br />

difficulties when are used [4-6]. Another point is biocompatibility<br />

of gripper materials that places some<br />

restrictions in choosing of actuation method and fabrication<br />

process.<br />

From above explanation, it is clear that whatever the<br />

actuation method is, there are many points that must be<br />

taken into consideration for microgripper design. This work<br />

proposes a survey of the literature about the kinematic and<br />

actuation solutions adopted for microgrippers; then a new<br />

design approach is proposed and the FEM simulation of few<br />

candidate devices for cells manipulation are reported.<br />

II. DESIGN ISSUES IN MICROGRIPPING<br />

A survey of the literature reveals the following key<br />

features in design of microgrippers for biological cells:<br />

- actuation principle<br />

- kinematics<br />

- fingertips shape<br />

- force feedback<br />

- releasing strategy<br />

The actuation strategy is usually determined by selecting<br />

internal or external actuators. About the first category, it is<br />

possible to build some specific parts of the gripper with<br />

piezoelectric (PZT) material to generate a localized force<br />

when an electric voltage is provided [7]. The electrostatic<br />

force can be used as an actuation by applying a voltage<br />

difference on a capacitor with movable armature [8]. The<br />

thermal actuation, widely used for both biological and nonbiological<br />

manipulation, is based on the thermal expansion<br />

of the gripper arms due to the Joule effect in presence of<br />

electric currents [9]. A faster response of the arms can be<br />

achieved with shape memory alloys (SMA) [10]: they are<br />

able to restore almost immediately the memorized shape<br />

when a threshold temperature is passed. The<br />

electromagnetic actuation is based on micro-coils and is<br />

able to generate weak confined magnetic fields [11].<br />

Hydraulic and pneumatic actuation can be used to<br />

manipulate bio-cells with micro-pipes integrated in small<br />

circuits including micro-pumps and valves [12]. There are<br />

strong limitations in using internal actuators for the<br />

manipulation of biological particles. PZT actuators have<br />

strong nonlinear output, high supply voltage, small motion<br />

range and other problems such as creep, mechanical fatigue,<br />

hysteresis and biocompatibility; as a consequence, they<br />

require an embedded force feedback control. The<br />

electrostatic actuators are generally disadvantaged by the<br />

small dimensions of the capacitors. To increase to the force,<br />

very complicated shapes of the gripper are necessary by<br />

introducing many comb drives; then, the motion range is<br />

strongly reduced by the small gaps between the armatures<br />

and the applied voltage easily causes electrolysis of watered<br />

356


environments. Despite thermal actuation is one of the most<br />

common way of bio-manipulation, thermal actuators may<br />

induce high temperature in the region close to the cells; few<br />

solutions were proposed with long grippers used to dissipate<br />

the heat produced by the actuators. About SMA materials,<br />

the main problem is related to their low fatigue resistance<br />

that causes very limited cycle time; then, SMA materials<br />

have small strain capability, strong nonlinearity and<br />

hysteresis and their fabrication process is usually very<br />

complicated in the microscale. The limitations of electromagnetic<br />

actuators are related to their small dimension that<br />

implies fast heating of the coil due to the Joule effect and<br />

low allowable currents; the resulting magnetic field is<br />

generally weak and subjected to relevant leakages, giving<br />

small power per unit volume. Hydraulic and pneumatic<br />

actuators are limited to pipe based devices; usually they are<br />

not suitable for precision operations involving more than<br />

one cell and the hydraulic solution only works in wet<br />

environments [13].<br />

More promising opportunities for bio-cells manipulators<br />

are offered by external actuators, which preserve the<br />

thermal insulation of the gripper and avoid contaminations<br />

or biocompatibility problems. The most suitable solutions<br />

are electric motors (DC motors and stepper motors) and<br />

piezoelectric motors [13]. The first category is affected by<br />

undesired heat generation, relatively low motion precision<br />

and quite large size for micro manipulation; furthermore,<br />

stepper motors are not able to provide smooth motion. The<br />

piezoelectric motors are the most promising solution for this<br />

application, due to their small size and high accuracy [14];<br />

they also have very high response and wide speed range<br />

(since few micrometers/second to few millimeters/second).<br />

The thermal heating is also negligible. The only problems<br />

are related to the interface between the motor and the<br />

microgripper, where interferences and frictions must be<br />

considered. Other less investigated strategies for the internal<br />

and external actuation includes ultrasonic motors,<br />

picomotors, stick-slip and inchworm actuators. The<br />

Internal actuation<br />

External actuation<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

advantages and limitations of fundamental internal and<br />

external actuation strategies are summarized in Table 1.<br />

The kinematic solutions adopted in the literature are very<br />

numerous and strongly related to the field of application of<br />

the gripper and to the actuation strategy used; however,<br />

most of them can be summarized in few kinematic schemes,<br />

which are reported in Table 2. Compliant structures (some<br />

examples are reported in [13, 15]) belongs to a particular<br />

class of grippers where the material elasticity is used to<br />

transfer the force from the actuator to the cell, possibly by<br />

amplifying its effect with the geometry characteristics and<br />

the structure deforming shape. This solution is very<br />

interesting because of its simplicity, and the possibility to<br />

increase the gripping surface and limiting the local pressure<br />

on the cell membrane.<br />

Normally, the fingertip shape must be carefully designed<br />

according to the conformation of the grip site. The less<br />

elaborated kinematics of arms lead to gripping by only two<br />

points; this solution is not indicated for the manipulation of<br />

bio-cells because very high pressures may interest the<br />

gripping site and compromise the cell integrity. Thus it is<br />

preferable to choose rigid translating fingers instead of<br />

rotating fingers (see the example in the Table 2) and to<br />

provide a proper shape to the clamps. The most diffused<br />

fingertips shapes can be divided in flat, angular carved,<br />

cylindrical carved and non-standard fingertips, as<br />

represented in Table 3 [16]. Another advantage of<br />

compliant structures is the possibility to slightly adapt the<br />

shape of the fingertip to the conformation of the cell: thanks<br />

to the high deformability of the structure, it is possible to<br />

embrace the cell and to distribute almost uniformly the<br />

gripping force on its surface.<br />

The force feedback measurement is often crucial for biomanipulation,<br />

due to the small mechanical resistance of the<br />

cells [7,.17-19]. The most suitable strategy is the<br />

displacement control through optical detection, which is<br />

contactless and very accurate. Other methods were<br />

explored, for instance by using integrated<br />

TABLE 1<br />

ADVANTAGES AND LIMITATIONS OF FUNDAMENTAL INTERNAL AND EXTERNAL ACTUATION STRATEGIES<br />

Actuation strategy Advantages Limitations<br />

Piezoelectric Thermal stability, high accuracy, high response.<br />

Nonlinearity, high supply voltage, small motion<br />

range, creep, fatigue, hysteresis, low biocompatibility.<br />

Electrostatic<br />

capacitive<br />

Consolidated manufacturing process, direct motion feedback.<br />

Complicated geometry, small motion range,<br />

electrolysis and bubbles formation.<br />

Thermal Consolidated manufacturing process. High temperature, low response.<br />

SMA actuators Faster response then thermal actuation, large motion range.<br />

Fatigue, small motion range, nonlinearity, hysteresis,<br />

hard manufacturing process, high cost.<br />

Electromagnetic Preservation of cells integrity. Coil heating, magnetic field weakness, field leakage,<br />

Hydraulic and<br />

pneumatic<br />

Reliability, preservation of cells integrity.<br />

Limited applicability.<br />

DC motors Thermal insulation, high speed, high accuracy.<br />

Heat generation, dimensions, hysteresis, interface<br />

connection, feedback control needed.<br />

Step motors Thermal insulation, very large motion range.<br />

Heat generation, low precision, dimensions, unsmooth<br />

motion, interface connection, noise.<br />

Piezoelectric<br />

motors<br />

Large force, high accuracy, high response, thermal insulation,<br />

small size, no wear and tear, low power consumption.<br />

Interface connection.<br />

357


Clamps<br />

rotation<br />

[21]<br />

Clamps<br />

translation<br />

[21]<br />

Compliant<br />

structures<br />

[15]<br />

Thermal<br />

expanded<br />

arms<br />

Electromagnetic<br />

actuation<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

exploit the features of the gripper surface (shape, material,<br />

TABLE 2<br />

MOST COMMON KINEMATIC STRATEGIES<br />

coatings, etc.), while the last ones make use of external<br />

Open state<br />

Closed state<br />

actions (forces, pressures, vibrations, etc) [20]. A list of<br />

releasing strategies is reported in Table 4.<br />

TABLE 3<br />

MOST COMMON STANDARD TYPOLOGIES OF FINGERTIP SHAPES<br />

Flat Angular carved Cylindrical carved<br />

piezoresistive transducers or micro capacitive sensors;<br />

however these approaches are usually limited by the low<br />

biocompatibility of materials and the possibility to induce<br />

electrolysis of water with related bubbles formation.<br />

Due to the small effect of gravity force compared to the<br />

adhesion and capillary forces in microgripping of bio-cells,<br />

the releasing is generally problematic. Many releasing<br />

strategies were tested in the literature and they can be<br />

divided in passive and active strategies; the first ones<br />

Passive releasing<br />

Active releasing<br />

Releasing strategy<br />

Rough surfaces<br />

Hydrophobic coating<br />

Conductive coating<br />

Vacuum environment<br />

Fluid environment<br />

Ionized air<br />

Vibrations<br />

Air pressure<br />

Heating<br />

Electrostatic control<br />

Adhesion to the<br />

substrate<br />

Additional tools<br />

TABLE 4<br />

MOST COMMON PASSIVE AND ACTIVE RELEASING STRATEGIES<br />

III. DESIGN AND FEM OPTIMIZATION<br />

As mentioned before, different kind of problems in living<br />

cells manipulation are related to the health of cells and<br />

caused by the actuator part of microgrippers. Therefore, in<br />

all kind of actuators any undesirable effect of actuator on<br />

biological cells has to be avoided. In this paper a kind of<br />

gripper is considered that uses external actuator approach as<br />

its actuation method. In this approach the actuation part<br />

completely separates from gripping jaws. It seems that it is<br />

the first time that external actuation method is proposed for<br />

biological cells manipulation in order to solve all kind of<br />

problems that are related to the actuation mechanism of<br />

microgrippers. Furthermore, the fabrication process of the<br />

gripper can be considerably simplified by using this<br />

approach. This separation allows using different kind of<br />

actuators as driving part of the gripper without any<br />

consideration to their undesired effects on cells health.<br />

Several configurations were considered to define a shape<br />

suitable for this application. Figures 1 to 4 show the<br />

improvement procedure of microgripper design in this<br />

work. For all those layouts tip of the gripper was conceived<br />

to be proper for gripping of a cell with 35 µm diameter.<br />

Moreover, the total length of all proposed configurations<br />

and their out-of-plane thickness are 1 mm and 20 µm,<br />

respectively, and was considered fixed parameters during<br />

optimization procedure. Furthermore, the applied<br />

displacement to the moving arm of the gripper was<br />

considered 20 µm when it was pulled and 10 µm when it<br />

was pushed. In all FEM analysis of the structures we<br />

changed the geometrical parameters so that the maximum<br />

stress did not exceed of 34 MPa. Four dimensional models<br />

of the proposed layouts were developed and then<br />

investigated by the finite element code ANSYS.<br />

Description<br />

The contact area is reduced, as the electrostatic adhesion force.<br />

It reduces the surface tension effect.<br />

The electrostatic forces are reduced by conductive coatings or materials with small potential difference<br />

with the object.<br />

It reduced the surface tension effect.<br />

It eliminates the surface tension effect and reduces electrostatic forces.<br />

It reduces electrostatic forces.<br />

The acceleration imposed causes the object releasing due to inertial force.<br />

A pressurized air flow overcomes the adhesion forces.<br />

The temperature increasing reduces the capillary forces.<br />

The electrostatic force is controlled by shorting the gripper electrodes or inverting the polarity.<br />

The object adheres to the substrate due to higher adhesion forces, or gluing on the substrate, or engagement<br />

by the substrate.<br />

Additional tools are used to detach the object.<br />

358


The FEM model allowed calculating the structural<br />

stiffness, as well as the accuracy of kinematics. An<br />

optimization work was done by changing the configuration,<br />

length, and width of the different parts of the grippers. The<br />

results of all analysis can be seen in Table 5. To select the<br />

material, bio-compatibility of the gripper was taken into<br />

account. After performing a comprehensive survey in the<br />

literature, the SU-8 polymeric material was selected because<br />

of its properties, which are particularly suitable for cell<br />

manipulation devices. For the FEM simulations, the<br />

following properties of SU-8 were considered: coefficient of<br />

thermal expansion α = 52x10 -6 K -1 , Young’s modulus E =<br />

4.02 GPa, Poisson’s ratio ν = 0.22 and ultimate tensile stress<br />

of 34 MPa.<br />

Since in all microgrippers previously proposed in the<br />

literature, due to angular motion of the jaws, the gripping<br />

planes at the tip of the tweezers do not remain parallel<br />

during cell manipulation (see Table 2), in this study an<br />

attempt was performed to change this strategy, by leading to<br />

surround a cell and keep it within the room defined by the<br />

gripper tips, in closed configuration. Furthermore, by<br />

increasing the contact area of the cell and gripper tip, this<br />

approach causes less stress on the cells membrane during<br />

gripping. Above all, a single mask layer is enough to<br />

fabricate this layout and there is no need to dope any kind of<br />

metal on substrates and use several mask layers in<br />

fabrication process that is usual in all thermal actuators.<br />

The first configuration in Table 1 is our first idea to<br />

achieve the above mentioned goals. One upper and one<br />

lower arm which are connected together with some inclined<br />

connecting parts compose this simple configuration. The<br />

upper arm is fixed and the lower arm is attached to an<br />

external actuator. In this configuration the most important<br />

problem was the y-direction movement of the upper arm of<br />

the gripper due to its bending. Figure 1 shows the deformed<br />

and undeformed shape and also stress distribution of this<br />

layout. The results of all analyses can be seen in Table 5. By<br />

applying 20 µm displacement to the lower arm in FEM<br />

simulation, more than 100 µm displacement in y direction<br />

occurred. To solve this problem a wider upper arm and<br />

longer connecting parts were used in second proposed<br />

configuration. Moreover, the inclined connectors were<br />

changed to vertical position in order to increase the<br />

movement in direction of x in comparison to y and to<br />

decrease the amount of force required by the lower arm. To<br />

keep the gripper tip dimensions proper for the considered<br />

cell size (35 µm) the shape of the tip was changed<br />

accordingly (Fig. 2).<br />

As can be seen in Table 5 these modifications did not<br />

solve the problem. Still were found about 20 µm of<br />

displacement along y direction when displacement was<br />

equal along direction x. Even though the second<br />

configuration decreased the movement along y direction in<br />

comparison to the first layout, long connecting parts<br />

increased the dimension of whole gripper structure. The<br />

next idea to solve these difficulties is shown in Fig. 3. An<br />

additional arm was joined to the structure. Displacement in<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

FEM simulation was induced on the upper arm while the<br />

lower two arms were fixed. This improvement allowed<br />

finding good results in terms of stress and opening range of<br />

the gripper tip. As it can be seen in Fig. 3 length of the<br />

upper arm can be a problem for the microfabrication,<br />

because of its compliance. This effect can be seen in Fig. 3,<br />

since a significant deflection of the upper arm occurred after<br />

applying displacement. To solve this final problem the<br />

upper arm was supported by another structure to prevent its<br />

deflection. Figure 4 shows the final configuration. In this<br />

layout a large opening at the gripper tips occurs if only 10<br />

µm of displacement are applied (half of the first two<br />

layouts). As can be seen in Table 5, the most opening range<br />

with minimum stress can be achieved by this layout.<br />

Furthermore, the least stiffness is related to this<br />

configuration while the width of the gripper does not exceed<br />

140 µm.<br />

Fig. 1. First configuration, left: deformed and undeformed shape,<br />

right: stress analysis<br />

Fig. 2. Second configuration, left: deformed and undeformed shape,<br />

right: stress analysis<br />

Fig. 3. Third configuration, left: deformed and undeformed shape,<br />

right: stress analysis<br />

Fig. 4. Fourth configuration, left: deformed and undeformed shape,<br />

right: stress analysis<br />

359


Layout<br />

Total dimensions<br />

of the gripper<br />

(length, width,<br />

thickness)<br />

(µm)<br />

Minimum<br />

width<br />

(µm)<br />

Maximum<br />

width<br />

(µm)<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

TABLE 5<br />

RESULTS OF FEM ANALYSIS FOR EACH LAYOUT<br />

Applied<br />

displacement<br />

to the moving<br />

arm a<br />

(µm)<br />

Equivalent<br />

force<br />

(µN)<br />

Stiffness<br />

(µN/µm)<br />

Tip<br />

displacement in<br />

direction of y<br />

(fixed arm,<br />

moving arm)<br />

Ux,Relative<br />

displacement<br />

of two tips in<br />

direction x b<br />

(µm)<br />

Uy, Relative<br />

displacement<br />

of two tips in<br />

direction y b<br />

(µm)<br />

Maximum<br />

stress<br />

(Mpa)<br />

1 1000 x 127 x 20 18 40 -20 28 3.1 (106, 102) 10 -4 33.93<br />

2 1000 x 390 x 20 20 100 -20 25 2.6 (20, 19) 10 -1 32.94<br />

3 1000 x 70 x 20 5 30 10 5.5 0.14 (4, 42) -0.5 -38 30.95<br />

4 1000 x 140 x 20 5 30 10 4.2 0.11 (5, 41) -6 -35 32.55<br />

a Positive values mean tension and minus values mean compression<br />

b Minus values mean the two arms moved away of each other<br />

Fig. 5. Approaching<br />

Fig. 6. Opening<br />

Fig. 7. Closing<br />

Fig. 8. Keeping<br />

The gripping procedure that was explained here is divided<br />

in four steps; approaching to the cell, opening of the jaws,<br />

closing of the jaws and finally, keeping the cell. These steps<br />

are shown in Fig. 5 to 8. All relative sizes are according to<br />

the real condition. In Fig. 1 a 35 µm cell has been shown in<br />

front of the gripper tip. This is after the process of<br />

approaching to the cell. Fig. 6 shows the maximum opening<br />

range of the gripper tip that can be achieved by applying 10<br />

µm or 4.2 µN force to the moving arm. As can be seen the<br />

opening range in this configuration is more than the other<br />

layouts. This also can be confirmed by the stiffness numbers<br />

of Table 5. Stiffness was calculated by dividing the amount<br />

of forces to the received relative displacement of the gripper<br />

tips. Fig. 7 is the returning process of the gripper to its<br />

unloaded condition. This figure shows the jaws when 2 µm<br />

displacement is applied to the moving arm of the gripper.<br />

Finally, Fig. 8 shows the relax condition of the gripper<br />

without any applied load or displacement. In this figure the<br />

gripper keep the cell inside its tips. One of the most<br />

advantages of this approach is increasing the contacts area<br />

between cell membrane and gripper tip that causes less<br />

stress on the membrane of the cell. Also in the case of a<br />

precise manipulation it can be completely a non-contact<br />

gripping.<br />

IV. RESULTS AND CONCLUSION<br />

A new approach in designing of microgrippers for<br />

biological cells manipulation was proposed and optimized<br />

by finite element method. By separating the actuator part of<br />

the microgripper from gripping jaws, many existing<br />

problems in cell manipulation were solved. This layout<br />

assures significant benefits such as the reduction of micro<br />

fabrication steps, time and cost of building process. By<br />

using this approach, there is no need to dope any kind of<br />

metal on substrates and use several mask layers in<br />

fabrication process that is usual in all thermal actuators.<br />

Some attempts to design an innovative configuration were<br />

performed and herein documented, by distinguishing<br />

advantages and disadvantages of each new layout proposed.<br />

The main innovation was strategy of surrounding the cells<br />

and keeping them instead of using tweezers for gripping,<br />

which make a local contact with the cells limited to two or<br />

few points. A finite element optimization was then<br />

performed to improve the performance of the proposed<br />

360


configuration of microgrippers and their functionality. The<br />

authors, after this work, where they have proposed and<br />

simulated this structure, will concentrate the attention on the<br />

shape of the cavity for the cell in order to correlate the<br />

contact force acting on the cell and the actuation force<br />

required to drive the manipulator.<br />

ACKNOWLEDGMENT<br />

The work presented was supported by the Italian Institute<br />

of Technology (IIT) at Politecnico di Torino, Center for<br />

Space Human Robotics.<br />

REFERENCES<br />

[1] N. Chronis and L.P. Lee, “Electrothermally activated SU-8<br />

microgripper for single cell manipulation in solution,” J.<br />

Microelectromech. S., vol. 14, pp. 857–863, 2005.<br />

[2] K. Han, S. Hoon Lee, W. Moon, and J.S. Park, “Fabrication of the<br />

micro-gripper with a force sensor for manipulating a cell,” proc. of<br />

SICE-ICASE, Busan, Korea, pp. 5833-5836, 2006.<br />

[3] C. Neagu, H. Jansen, H. Gardeniers, and M. Elwenspoek, “The<br />

electrolysis of water: an actuation principle for MEMS with a big<br />

opportunity,” Mechatronics, vol. 10, pp. 571–81, 2000.<br />

[4] W.J. Li and N. Xi, “Novel micro gripping, probing, and sensing<br />

devices for single-cell surgery,” proc. of 26th Ann. Int. Conf. of the<br />

IEEE Eng. in Medicine and Biology Soc., San Francisco, USA, vol.<br />

1, pp. 2591-2594, 2004.<br />

[5] B. Solano and D. Wood, “Design and testing of a polymeric<br />

microgripper for cell manipulation,” Microelectron. Eng., vol.<br />

84, pp. 1219-1222, 2007.<br />

[6] R.E. Mackay, H.R. Le, K. Donnelly, and R.P. Keatch, “Microgripping<br />

of small scale tissues,” proc. of 4th Europ. Conf. of the<br />

Int. Feder. for Medical and Biological Eng., vol. 22, pp. 2619-<br />

2622, 2009.<br />

[7] R. Pérez, N. Chaillet, K. Domanski, P. Janus, and P. Grabiec,<br />

“Fabrication, modeling and integration of a silicon technology<br />

force sensor in a piezoelectric micro-manipulator,” Sensor. Actuat.<br />

A - Phys., vol. 128, pp. 367-375, 2006.<br />

[8] F. Beyeler, A. Neild, S. Oberti, D.J. Bell, Y. Sun, J. Dual, and B.J.<br />

Nelson, “Monolithically fabricated microgripper with integrated<br />

force sensor for manipulating microobjects and biological cells<br />

aligned in an ultrasonic field,” J. Microelectromech. S., vol. 16, pp.<br />

7-15, 2007.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

[9] N.T. Nguyen, S.S. Ho, and C.L. Low, “A polymeric microgripper<br />

with integrated thermal actuators,” J. Micromech. Microeng., vol.<br />

14, pp. 969-974, 2004.<br />

[10] M. Kohl, B. Krevet, and E. Just, “SMA microgripper system,”<br />

Sensor. Actuat. A - Phys., vol. 97-98, pp. 646-652, 2002.<br />

[11] I. Giouroudi, H. Hötzendorfer, J. Kosel, D. Andrijasevic, and W.<br />

Brenner, “Development of a microgripping system for handling of<br />

microcomponents,” Precis. Eng., vol. 32, pp. 148-152, 2008.<br />

[12] V. Seidemann, S. Büterfisch, and S. Büttgenbach, “Fabrication and<br />

investigation of in-plane compliant SU8 structures for MEMS and<br />

their application to micro valves and micro grippers,” Sensor.<br />

Actuat. A - Phys., vol. 97-98, pp. 457-461, 2002.<br />

[13] P.R. Ouyang, R.C. Tjiptoprodjo, W.J. Zhang, and G.S. Yang,<br />

“Micro-motion devices technology: the state of arts review,” Int. J.<br />

Adv. Manuf. Technol., vol. 38, pp. 463-478, 2008.<br />

[14] S.K. Nah and Z.W. Zhong, “A microgripper using piezoelectric<br />

actuation for micro-object manipulation,” Sensor. Actuat. A -<br />

Phys., vol. 133, pp. 218-224, 2007.<br />

[15] J.A. Martinez and R.R. Panepucci, “Design, fabrication, and<br />

characterization of a microgripper device,” proc. of FCRAR,<br />

Tampa, USA, pp. 1-6, 2007.<br />

[16] P. Pedrazzoli, R. Rinaldi, and C.R. Boër, “A rule based approach<br />

to the gripper selection issue for the assembly process,” proc. of<br />

4th IEEE Int. Symp. on Ass. and Task Plan., Fukuoka, Japan, pp.<br />

202-207, 2001.<br />

[17] S. Fahlbusch and S. Fatikov, “Implementation of self-sensing SPM<br />

cantilevers for nano-force measurement in microrobotics,”<br />

Ultramicroscopy, vol. 86, pp. 181-190, 2001.<br />

[18] X. Liu, K. Kim, Y. Zhang, and Y. Sun, “Nanonewton force sensing<br />

and control in microrobotic cell manipulation,” Int. J. Robot Res.,<br />

vol. 28, pp. 1065-1076, 2009.<br />

[19] M.C. Carrozza, A. Eisinberg, A. Menciassi, D. Campolo, S.<br />

Micera, and P. Dario, “Towards a force-controlled microgripper<br />

for assembling biomedical microdevices,” J. Micromech.<br />

Microeng., vol. 10, pp. 271-276, 2000.<br />

[20] G. Fantoni and M. Porta, “A critical review of releasing strategies<br />

in microparts handling,” in Micro-Assembly Technologies and<br />

Applications, vol. 260, S. Ratchev and S. Koelemeijer, Eds.<br />

Boston: Springer, 2008, pp. 223-234.<br />

[21] B. Hoxhold and S. Büttgenbach, “Easily manageable,<br />

electrothermally actuated silicon micro gripper,” Microsyst.<br />

Technol., vol. 16, pp. 1609-1617, 2010.<br />

361


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Particle Focusing in a Contactless Dielectrophoretic<br />

Microfluidic Chip with Insulating Structures<br />

Chun-Ping Jen 1 *, Hsin-Yuan Shih 2 , Yung-Chun Lee 3 and Fei-Bin Hsiao 2<br />

1* Department of Mechanical Engineering, National Chung Cheng University, Chia Yi, Taiwan, R.O.C.<br />

2 Institute of Aeronautics and Astronautics, National Cheng Kung University, Tainan, Taiwan, R.O.C.<br />

3 Department of Mechanical Engineering, National Cheng Kung University, Tainan, Taiwan, R.O.C.<br />

Abstract- The main purpose of the present study was to investigate<br />

the feasibility of applying the technique of contactless<br />

dielectrophoresis (cDEP) on an insulator-based dielectrophoretic<br />

(iDEP) microdevice with effective focusing of particles. The<br />

particles were introduced into the microchannel and pre-confined<br />

hydrodynamically by the funnel-shaped insulating structures<br />

close to the inlet. The particles were, therefore, repelled toward<br />

the center of the microchannel by the negative dielectrophoretic<br />

forces generated by the insulating structures. The microchip was<br />

fabricated by the technique of cDEP. The electric field in the main<br />

microchannel was generated by using electrodes inserted into two<br />

conductive micro-reservoirs, which were separated from the main<br />

microchannel by thin insulating barriers made of 20 μm-width of<br />

PDMS. The impedance of the PDMS barrier under different<br />

frequencies was measured by an impedance analyzer and the<br />

fitting curve to experimental data using the least-squares method<br />

were also addressed. The results revealed the capacitive behavior<br />

of the PDMS, in which the impedance decreased with the<br />

frequency. The numerical simulations indicated that an increase<br />

in the strength of the applied electric field significantly enhanced<br />

the performance of focusing. The preliminary experiments<br />

employing latex particles with 10 μm in diameter were conducted<br />

to demonstrate the feasibility of the present design. The usage of<br />

contactless DEP technique makes the insulator-based<br />

dielectrophoretic microchip mechanically robust and chemically<br />

inert. Furthermore, the voltage applied was also reduced rather<br />

than conventional iDEP microchip.<br />

Keywords: contactless dielectrophoresis; insulating structures;<br />

microfluidic; focusing.<br />

I. INTRODUCTION<br />

Dielectrophoresis (DEP) has been widely used for the<br />

manipulation of particles in various microdevices.<br />

Contact-free and gentle forces on cells are produced;<br />

therefore, conditions are particularly suitable for cell<br />

manipulation in a microchip. Dielectrophoresis is achieved<br />

under a non-uniform electric field generated by various<br />

electrode patterns. Furthermore, the use of geometrical<br />

constrictions in the insulating structures, which produce<br />

non-uniform electric fields by squeezing the electric field in<br />

a conductive medium, has been proposed. These structures<br />

are termed insulator-based or electrodeless DEP (iDEP or<br />

EDEP) [1]. The direction of the DEP force is dominated by<br />

the dielectric properties of the particles as well as of the<br />

medium, which are functions of frequency. Particles<br />

experiencing positive DEP forces move to the local electric<br />

field maxima; however, those experiencing negative DEP<br />

forces will be driven toward the local electric field minima.<br />

The focusing of biological cells in microdevices is a<br />

prerequisite for medical applications, such as cell sorting,<br />

counting or flow cytometry [2]. Throughput and sensitivity<br />

can be greatly enhanced by effective focusing.<br />

Hydrodynamic focusing by sheath flow [3,4] has been a<br />

widely-used method for particle focusing; however,<br />

additional buffer inlets and precise flow control are required.<br />

The dielectrophoretic confinement of particles generated by<br />

microelectrodes on the top and bottom of the channel has<br />

been combined with hydrodynamic focusing by sheath flow<br />

to improve the performance of particle focusing and<br />

throughput for detecting and counting [5]. Furthermore, the<br />

position of particles in a microchannel can be accurately<br />

manipulated by electrodeless dielectrophoresis generated by<br />

the electric field between the so-called liquid electrodes [6].<br />

Recently, a method of contactless DEP [7], so called cDEP,<br />

was proposed due to its repeatability, high-efficiency and<br />

easy fabrication. An insulator-based dielectrophoretic<br />

microdevice with effective focusing of particles was<br />

designed and fabricated in our previous work [8]. A lower<br />

conductive material of polydimethylsiloxane (PDMS) was<br />

adopted as a structure in the microchip for particle focusing,<br />

instead of a metallic pattern, to squeeze the electric field in a<br />

conducting solution and generate the regions of high field<br />

gradient. However, the metallic electrodes were made on the<br />

glass substrate to reduce the applied voltage in our previous<br />

study. The main purpose of the present study was to<br />

investigate the feasibility of applying the technique of cDEP<br />

on our design of the insulator-based dielectrophoretic<br />

microdevice with effective focusing of particles.<br />

II. THEORY AND DESIGN<br />

The DEP Force (F DEP ) acting on a spherical particle of<br />

radius R suspended in a fluid of permittivity ε is given as:<br />

m<br />

3<br />

2<br />

DEP<br />

= 2 επ<br />

m<br />

Re(<br />

CM<br />

) ∇EfRF<br />

(1)<br />

rms<br />

where Re( f CM<br />

) is the real part of the Clausius-Mossotti<br />

factor, and E rms is the root-mean-square of the external<br />

electric field, in an alternating field. The Clausius-Mossotti<br />

factor (f CM ) is a parameter of the effective polarizability of<br />

the particle. It varies as a function of the frequency of the<br />

applied field (f), as well as the dielectric properties of the<br />

particle and the surrounding medium. The<br />

Clausius-Mossotti factor for a spherical particle is<br />

represented as:<br />

362


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

**<br />

⎡ − εε ⎤<br />

mp<br />

f = ⎢ ⎥<br />

(2)<br />

CM<br />

**<br />

⎢⎣<br />

+ 2εε<br />

mp<br />

⎥⎦<br />

*<br />

*<br />

where ε and<br />

p<br />

ε are the complex permittivity of the<br />

m<br />

particle and the medium, respectively. The complex<br />

permittivity is related to the conductivity σ and angular<br />

frequency ω, which relates to ω = 2πf<br />

, through the<br />

formula:<br />

σ<br />

* εε j−≡<br />

ω<br />

(3)<br />

where j equals − 1 . Therefore, the DEP force is<br />

dependent mainly on difference between the dielectric<br />

properties of particles and the suspending medium solution.<br />

It can be either a positive DEP, which pulls the particle<br />

toward the location of the high-electric field gradient, or a<br />

negative DEP, that repels the particle away from the region<br />

of high-electric-field gradient.<br />

The schematic diagram of the cDEP microfluidic chip is<br />

depicted in Fig. 1. Four insulating structures which formed<br />

an X-pattern in the microchannel, as shown, were employed<br />

to squeeze the electric field in a conducting solution, thereby<br />

generating the regions high-electric-field gradient. The inlet<br />

flow field and the electric field were applied vertically. The<br />

negative-dielectrophoretic particles were repelled from the<br />

high- electric-field region, moving to the center of the<br />

microchannel where the flow velocity was higher. The<br />

insulator was designed 60 μm in width and 200 μm in length.<br />

The distance of the insulators along the direction of flow, as<br />

well as along the direction of the electric field, was 120 μm.<br />

The inclined angle of the insulator was 45 degrees. The<br />

particles were introduced into the microchannel and<br />

pre-confined hydrodynamically by the funnel-shaped<br />

insulating structures close to the inlet. The particles with a<br />

negative dielectrophoretic response were repelled toward the<br />

center of the constricting region. The electric field in the<br />

main microchannel was generated by using electrodes<br />

inserted into two conductive micro-reservoirs, which were<br />

separated from the main microchannel by thin insulating<br />

barriers made of 20 μm-width of PDMS [7].<br />

III.<br />

EXPERIMENTAL SECTION<br />

A. Chip Fabrication<br />

A biocompatible material of polydimethylsiloxane<br />

(PDMS) was adopted for cDEP microfluidic chip. The mold<br />

master was fabricated by using the inductively coupled<br />

plasma (ICP) dry etching technique on the silicon wafer<br />

(around 100 μm in height) to define the micropatterns, as<br />

showed in Fig. 2a. The PDMS prepolymer mixture was<br />

poured and cured on the mold master to replicate the<br />

structures (Fig. 2b). After the PDMS replica had been peeled<br />

off, the replica was bonded with the glass substrate after<br />

treatment of the oxygen plasma in the O 2 plasma cleaner<br />

(Model PDC-32G, Harrick Plasma Corp. Ithaca, NY, USA).<br />

The image of the fabricated microchip for particle focusing<br />

taken by the optical microscope was revealed in Fig. 2c.<br />

B. Apparatus and Materials<br />

A function/arbitrary waveform generator (Agilent<br />

33220A, Agilent Technology, Palo Alto, CA, USA) was<br />

employed as the AC signal source and connected to an RF<br />

amplifier (HSA-4011, NF corporation, Japan) to apply<br />

electric fields required for dielectrophoretic manipulation in<br />

the microchannel. Polystyrene particles, 10 mm in diameter,<br />

(G1000, Thermo Scientific Inc., USA) were used to<br />

investigate the efficiency of focusing. A sample of<br />

polystyrene particles with a concentration of 10 6<br />

particles/mL was injected using a syringe pump (Model KDS<br />

101, KD Scientific Inc., Holliston, MA, USA). The<br />

dielectric permittivity and conductivity of polystyrene<br />

particles at the frequency of 1 MHz are about ε r =2.6; σ=10 -16<br />

S/m, respectively [9]. The particles are suspended in a<br />

sucrose solution with an 8.62 wt% and 2.74×10 -2 wt% of<br />

K 2 HPO 4 (ε r =78; σ=4.50×10 -2 S/m). The dielectrophoretic<br />

focusing of particles was observed and recorded by an<br />

inverted fluorescence microscope (model CKX41, Olympus,<br />

Tokyo, Japan) mounting a CCD camera (DP71, Olympus,<br />

Tokyo, Japan) and a computer with Olympus DP controller<br />

image software.<br />

IV. RESULTS AND DISCUSSION<br />

To investigate the capacitive behavior of the PDMS barrier,<br />

the Wayne Kerr precision impedance analyzer 6420 was<br />

used to measure the impedance of the PDMS in DMEM<br />

medium (Gibco, Grand Island, NY, USA), which was<br />

commonly used in cell culture. The micro-reservoirs were<br />

filled with DMEM medium with an electric conductivity of<br />

0.8 S/m; besides, this medium in light red color could be used<br />

for confirm that there was no leakage from insulating barriers.<br />

The experimental set up was depicted in Fig. 3. The<br />

measured impedance of the PDMS barrier under different<br />

frequencies and the fitting curve to experimental data using<br />

the least-squares method were depicted in Fig. 4. The results<br />

revealed the capacitive behavior of the PDMS barrier. The<br />

impedance decreased with the frequency. The relative<br />

dielectric permittivity and conductivity of PDMS at a<br />

frequency of 1 MHz were 10.46 and 7.6×10 -4 S/m,<br />

respectively. The numerical simulations of the electric and<br />

flow fields, as well as the particle trajectory, were performed<br />

using the commercial software CFDRC-ACE + (ESI Group,<br />

France). Fig. 5 showed the transient simulation of the tracks<br />

of latex particles (ε r =2.6; σ=10 -16 S/m) [9] under varying<br />

electric field strengths and inlet velocity. The increase in the<br />

applied electric field significantly enhances the performance<br />

of focusing. Furthermore, decreasing inlet velocity increases<br />

the efficiency of focusing because the higher velocity results<br />

in more lateral expansion. Experimental results of focusing<br />

of fluorescent latex particles at different inlet flow rates and<br />

under varying electric field strengths of a frequency of 1<br />

MHz were demonstrated the performance of focusing, as<br />

showed in Fig. 6. The latex particles of 10 μm in diameter<br />

suspended in the sucrose medium with 8.62 wt% and<br />

2.74×10 -2 wt% of K 2 HPO 4 (ε r =78; σ=4.50×10 -2 S/m) were<br />

used to investigate the efficiency of focusing. The sample of<br />

latex particles was injected using a syringe pump. The<br />

experimental results showed that the performance of<br />

363


focusing increased both as the strength of the applied electric<br />

field increased and as the inlet velocity decreased.<br />

V. CONCLUSIONS<br />

The feasibility of applying the technique of cDEP on our<br />

design of the insulator-based dielectrophoretic microdevice<br />

with effective focusing of particles was successfully<br />

demonstrated. The results of measurement revealed the<br />

capacitive behavior of the PDMS barrier, which indicated the<br />

impedance of the PDMS decreased with the frequency. The<br />

preliminary experiments employing latex particles were<br />

conducted to demonstrate the feasibility of the present<br />

design. The design proposed herein has no need for<br />

complicated flow controls for focusing cells. Moreover, the<br />

usage of contactless DEP technique makes the<br />

insulator-based dielectrophoretic microchip mechanically<br />

robust and chemically inert. The microdevice is easy to<br />

operate and to integrate into further biomedical applications.<br />

ACKNOWLEDGMENT<br />

The authors would like to thank the National Science<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Council of the Republic of China for its financial support<br />

under contract No. NSC-99-2923-E-194-001-MY3. In<br />

addition, the National Center for High-Performance<br />

Computing for the use of computer time and its facilities is<br />

also acknowledged.<br />

REFERENCES<br />

[1] B. H. Lapizco-Encinas, B. A. Simmons, E. B. Cummings, Y.<br />

Fintschenko, Electrophoresis 25 (2004) 1695-1704.<br />

[2] S. Gawad, L. Schild and P. Renaud, Lab Chip 1 (2001) 76-82.<br />

[3] G. B. Lee, C. I. Hung, B. J. Ke, G. R. Huang, B. H. Hwei and H. F.<br />

Lai, J. Fluids Eng. 123 (2001) 672-679.<br />

[4] L. Lei, Y. L. Zhou, Y. Chen, Microelectron. Eng. 86 (2009)<br />

1358-1360.<br />

[5] D. Holmes, H. Morgan and N. G. Green, Biosens. Bioelectron. 21<br />

(2006) 1621-1630.<br />

[6] N. Demierre, T. Braschler, P. Linderholm, U. Seger, H. van Lintel<br />

and P. Renaud, Lab Chip 7 (2007) 355-365.<br />

[7] H. Shafiee, J. L. Caldwell, M. B. Sano, R. V. Davalos, Biomed.<br />

Microdevices 11 (2009) 997-1006.<br />

[8] C. P. Jen, C. T. Huang and C. H. Weng, Microelectron. Eng. 87<br />

(2010) 773-777.<br />

[9] Y. Kang, B. Cetin, Z.Wu, D. Li, Electrochim. Acta, 54, 1715 (2009).<br />

364


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Figure 1: Schematic diagram of the contactless DEP microchip<br />

for particle focusing using insulating structures.<br />

(a)<br />

(b)<br />

Figure 5: Transient simulation of tracks of negative<br />

dielectrophoretic latex particles under varying electric field<br />

strengths and inlet velocity. The relative dielectric permittivity<br />

and conductivity of particles were 2.55 and 9.28×10 -4 S/m,<br />

respectively.<br />

(c)<br />

Figure 2: (a) The scanning electron microscopy (SEM) image<br />

of the silicon mold and (b) PDMS replica. (c) The image of the<br />

chip for particle focusing taken by the optical microscope.<br />

Figure 3: The schematic illustration of the experimental set up<br />

for measuring the impedance of the PDMS barrier.<br />

Figure 6: Experimental results of focusing of fluorescent latex<br />

particles at different inlet flow rates and under varying electric<br />

field strengths (at a frequency of 1 MHz). The images of the<br />

last set of X-patterned insulating structures (the region marked<br />

by the dash line in the layout) demonstrate the performance of<br />

focusing.<br />

Figure 4: The measured impedance of the PDMS barrier under<br />

different frequencies and the fitting curve to experimental data<br />

using the least-squares method.<br />

365


11-13 <br />

May, 2011, Aix-en-Provence, France<br />

<br />

Increasing Density of Antibody-Antigen Binding on a<br />

Sensor Surface by Controlling Microfluidic Environments<br />

Chia-Che Wu 1 *, Ling-Hsuan Hung 2 , Ching-Hsiu Tsai 3 , Yao-Lung Liu 4<br />

1,2 Department of Mechanical Engineering<br />

3 Graduate Institute of Biotechnology<br />

1,2,3 National Chung Hsing University, 250, Kuo Kuang Road, Taichung, Taiwan, 402<br />

4 Division of Nephrology, Department of Internal Medicine, China Medical University Hospital, 91, Hsueh-Shih Road,<br />

Taichung, Taiwan 404 1<br />

Tel:+886-4-22840433 x 419<br />

Email: josephwu@dragon.nchu.edu.tw<br />

Abstract- Antibody-antigen reactions are widely used in biological<br />

detection. Researchers typically use either optical or electrical<br />

measurements to examine the sensing surface for specific antigens.<br />

Poor antibody-antigen density results in poor sensitivity of<br />

biological detection. The purpose of this research is to enhance<br />

antibody-antigen density on sensing surfaces by controlling the<br />

microenvironment. Vortices of samples were produced according<br />

to the structure and conditions of the microenvironment. Finite<br />

element analysis was used to compute the velocity field, streamline,<br />

and vorticity of samples in the microenvironment. Fluorescent<br />

particles were used to show streamline of samples experimentally.<br />

Experimental results were compared to simulated ones. Finally,<br />

Turnip Yellow Mosaic Virus (TYMV) was used as the specific<br />

antigen in the experiment. Experiment results showed that the<br />

density of TYMV detected by vortex microenvironment was 16.5<br />

times greater than the density detected by a dipping method. The<br />

duration of experiment by vortex microenvironment was 2.3×10 -4<br />

times less than the duration by dipping method. This research<br />

offers a simple and efficient design that benefits rapid and<br />

real-time detection.<br />

Keywords: Antibody-Antigen Reactions, , Turnip Yellow Mosaic<br />

Virus , Vortex, Microenvironments, Dipping Method<br />

I. INTRODUCTION<br />

Due to increased biological and chemical weapon terrorist<br />

attacks, food contamination, and other medical concerns, much<br />

research attention has focused on rapid and reliable real-time<br />

detection of microbes. This research has included considerable<br />

development of micro-detectors for use in electrochemistry,<br />

optics, thermology, and acoustic applications. Immunoassay is<br />

the most widely used of all present methods for the detection,<br />

diagnosis, and quantification of pathogens. In immunoassay,<br />

we frequently make use of specifically identifying and<br />

distinguishing between antibodies and antigens. In this way,<br />

antibodies can detect the amount of antigens (pathogens, such<br />

as germs or viruses) in the solution. Enzyme-linked<br />

immunosorbent assay (ELISA) [1-2] is one of the most basic<br />

immunoassay methods. In ELISA, the colorimetric method is<br />

used to detect the concentration of microbes. Although high<br />

sensitivity is achieved throughout the process, this method<br />

demands multiple times of conjugating antibody, several hours<br />

to several days of conjugation, and more experimental<br />

procedures. Western blot, or immunoblot [3-4], is another<br />

common detection method that specifically identifies and<br />

distinguishes between antibodies and antigens to color the<br />

samples. This method, however, has some drawbacks:<br />

electrophoretic separation is time-consuming, sensitivity is<br />

poor, a certain amount of antigen in the solution is required for<br />

detection, and expensive equipment is required. For these two<br />

common methods of pathogen detection, in addition to the cost<br />

of the equipment, they have some shortcomings such as the<br />

complexity and time-consuming nature of the analysis process,<br />

and the fact that trained personnel and laboratories are required<br />

for operation and analysis.<br />

Optical or electrical pathogen diagnostic tests are also<br />

widely used in the development of biochips because of their<br />

excellent selectivity and sensitivity [5-6]. The other advantages<br />

of these methods are the ease with which they can be integrated<br />

with microchannels and, thus, form a pathogen diagnosis and<br />

analysis system [7-8]. Li et al. (2006) applied traditional ELISA<br />

theory with the microchannels formed by silicon and glass to<br />

detect Escherichia coli 0157:H7. The absorption band was 402<br />

nm, and the detected concentration range was 10–105 cells/ml<br />

after the integration of E. coli 0157:H7 with the antibody. Gao<br />

et al. [9] utilized electrokinetical driven actuators combined<br />

with the indirect ELISA theory to detect different antigens from<br />

helicobacter pylori and lactobacillus rhamnosus (control group).<br />

The detected lowest concentration was 1ng/m1, and the higher<br />

the concentration of H. pylori, the stronger was the detected<br />

fluorescence signal. Regardless of whether optical or electrical<br />

measurements are used, when the amount of microbes on the<br />

sensing field is too low, the measured signals may be too weak<br />

to distinguish. Thus, an increase in the amount of pathogens or<br />

microbes fixed in the target area would be quite helpful for the<br />

signal measurement of the microbes. The traditional method of<br />

bio-assay normally applies the dipping method with the theory<br />

of antibody and antigen reaction to fix microbes on the sensing<br />

field. Due to Brownian motion, it requires a long time for the<br />

microorganisms in the static solution to adhere to the sensing<br />

field by diffusion and, in fact, few of the microorganisms<br />

actually successfully adhere.<br />

To improve the poor adhesion efficiency of the traditional<br />

366


dipping method, hydrodynamic transmission of the fluid in a<br />

microenvironment is used to drive the motion of the<br />

biomolecules. Tabeling et al. [10] mentioned that when a fluid<br />

flows through a square-shaped downward concave slot, the<br />

fluid causes a degree of swirling flow in the corner of the slot,<br />

and the side length ratio of the square-shaped slot influences the<br />

flow state of the fluid in the slot. Bruus et al. [11] also observed<br />

that the fluid created a swirling flow in the corner of the<br />

square-shaped slot when the Reynolds number of the<br />

microchannel increased. In this study, we first applied the<br />

special structure present in the microenvironment to cause the<br />

internal fluid to swirl in multiple directions, to increase the<br />

chaos of the fluids in the microenvironment. Next, by the<br />

traction force of the chaotic fluid flow, we drove the motion of<br />

the biomolecules, raised the evenness and the coverage rate of<br />

adhesion of the biomolecules to the sensing field, reducing the<br />

time for adhesion of the biomolecules to the sensing field, and<br />

improving the efficiency and sensibility of the microbial sensor.<br />

Finally, we used the plant virus TYMV to test the effect of the<br />

adhesion of TYMV on the sensing surface of the<br />

microenvironment.<br />

II.<br />

2.1 Sensing Principle<br />

SENSING PRINCIPLE AND SIMULATION<br />

The virus, TYMV, [12] is a tymovirus of the family<br />

Tymoviridae. TYMV are propagated in cabbage leaves and<br />

stored at -20 ℃ . The structure of TYMV is simulated by<br />

RasMol, a computer program written for molecular graphics<br />

(Fig. 1) and 400 amino groups on the TYMV surface is found.<br />

These amino groups can be easily linked with antibodies and<br />

quantum dots. The TYMV we used were obtained from the<br />

Graduate Institute of Biotechnology, National Chung Hsing<br />

University, Taiwan. This TYMV had Alexa Fluor 594 applied<br />

to it to examine the virus distribution under a confocal<br />

microscope. The other type of fluorescent we used was NCD-4<br />

(N-Cyclohexyl-N-4-Dime-thylamino naphthyl carbodiimide).<br />

This can also be linked with TYMV by the thiol groups on the<br />

TYMV. We used the self-assembled monolayers (SAMs) and<br />

the linker to achieve the goal of affixing the virus to the sensing<br />

surface in the microfluidics channel. The kind of SAMs used in<br />

this paper were MUA (11-mercaptoundecanoic acid). At one<br />

end of the chemical structure of MUA are thiol groups which<br />

can be attached on the gold film of the sensing surface. At the<br />

other end of the MUA is a carboxyl functional group. This<br />

functional group can form a connection between the linker and<br />

MUA. The linker layer we used was EDC<br />

(1-Ethyl-3-[3-dimethylaminopropyl]<br />

carbodiimide<br />

Hydrochloride) and NHS (N-hydroxysuccinimide). After EDC<br />

interacts with MUA, TYMVs will be captured by designed<br />

SAMs. The compound NHS is used to prevent EDC layer<br />

hydrolysis. Therefore, we can achieve a connection between the<br />

virus and the sensing surface. After the virus with quantum dots<br />

was anchored to the sensing surface of the microfluidics<br />

channel, a confocal microscope was used to monitor the surface<br />

of the silicon membrane. A laser beam with a wavelength of<br />

615 nm was passed through an aperture and was focused by an<br />

objective lens onto a small focal volume on the sensor surface.<br />

A mixture of emitted fluorescent lights (594 nm) and reflected<br />

11-13 <br />

May, 2011, Aix-en-Provence, France<br />

<br />

laser lights from the quantum dots were then reobtained by the<br />

objective lens. A photodetection device was used to transforme<br />

the reflected light signal. The results of the confocal<br />

microscopy measurement are shown in Fig. 10(a). Only a few<br />

TYMV with quantum dots were observed on the silicon<br />

membrane and the coverage rate of TYMV was poor. Also,<br />

some large molecules or particles were stuck on the surface<br />

which might result from the aggregation of MUA, EDC, or<br />

NHS by the dipping method. This result is unfavorable if<br />

researchers want to detect viruses at extremely low<br />

concentrations in the solution.<br />

(a)<br />

(b)<br />

Fig. 1 (a) Structure of TYMV (b) amine functional groups of TYMV<br />

2.2 Microfluidics Theory<br />

When TYMV is in a microenvironment, because it cannot<br />

infect any cells and parasitize on them, the virus does not exist<br />

in a living state but in a form of chemical compound. We<br />

therefore regard it as a small particle without life. When the<br />

liquid in the microenvironment is static, the virus particles can<br />

only move by diffusion of Brownian motion caused by the<br />

continuous collision of the media molecules in the<br />

microenvironment. The coefficient of diffusion signifies the<br />

movement capability of the virus by diffusion. Through the<br />

coefficient of diffusion we can understand the movement speed<br />

of the virus in static liquid. Table 1 [13] shows the coefficients<br />

of diffusion of the different viruses in various sizes in water<br />

under room temperature.<br />

Table 1 Different virus diffusion coefficient<br />

Diameter of<br />

Virus<br />

virus (nm)<br />

Diffusion<br />

coefficients (m 2 /s)<br />

Poliovirus [13] 25 1.72×10 –11<br />

Turnip Yellow Mosaic Virus [12] 31.8 1.35×10 –11<br />

Hepatitis B virus [13] 42 1.02×10 –11<br />

Adenovirus [13] 75 5.72×10 –12<br />

Human Immunodeficiency Virus [13] 120 3.58×10 –12<br />

When the virus is in a microenvironment where the medium<br />

is water, assuming the average displacement from the starting<br />

point to the terminal point is 5 mm, and the temperature is 293<br />

K, the time required for adhesion of each virus is shown in Fig.<br />

2. From the figure, we see that 230 hours is required for the<br />

movement of the virus by diffusion. Therefore, if we apply the<br />

diffusion effect as the mechanism of TYMV for adhering to the<br />

sensing surface, the action time is extremely long and the<br />

adhesion efficiency is poor. To solve the aforementioned<br />

obstacles, our study utilizes a microenvironment to drive the<br />

movement of the TYMV, and thus raise the adhesion efficiency<br />

of the TYMV.<br />

367


Time of diffusion (hr)<br />

1200<br />

1000<br />

800<br />

600<br />

400<br />

200<br />

0<br />

11-13 <br />

May, 2011, Aix-en-Provence, France<br />

<br />

Poliovirus<br />

TYMV<br />

Hepatitis B virus<br />

Adenovirus<br />

HIV<br />

50 100 150<br />

Diameter of virus (nm)<br />

are selected to 1mm, 3mm, and 475m, respectively. The<br />

height of the cylindrical structure will be discussed in the<br />

following paragraph. First, the fluid rotates in direction Y due<br />

to flow difference caused by the level difference between the<br />

channels as the fluid enters the concaved-down surface from<br />

the inlet. Second, rotation in direction Z is created by the flow<br />

rate difference with compression of the fluid when the fluid<br />

flow passes the sides of the cylindrical structure. This structure<br />

facilitates the chaotic effect generated by the rotating flow of<br />

the fluid, enhancing the mobility of the TYMV with the chaotic<br />

flow. The chaotic streamline, might present more opportunities<br />

for sensing surface attachments.<br />

inlet<br />

Fig. 2 Time to diffuse 5 mm for viruses<br />

In micro-fluidics, usually the behavior of small particles<br />

like microbes or even virus particles can be understood through<br />

the Reynolds number and Péclet number. The Reynolds<br />

number of a particle is shown in Equation (1).<br />

av<br />

Re (1)<br />

<br />

a is the diameter of a particle and v is the velocity of flow.<br />

and are density and viscosity of fluid, respectively.<br />

The Reynolds number for TYMV in water with a speed of<br />

order 10 m/s is calculated where the diameter of TYMV is 31.8<br />

3<br />

nm. Density and viscosity of water are 1000kg m and η<br />

=10 –3 Pa-s, respectively. The Reynolds number for the TYMV<br />

(3.18×10 – 7 ) is negligibly small. A small Reynolds number<br />

means that the movement of molecules was dominated by<br />

viscosity force and that molecules stop moving immediately<br />

when the drag force is removed. The movements of viruses or<br />

molecules depend on diffusion or Brownian motion. However,<br />

regardless of whether the movement relies on diffusion or<br />

Brownian motion, the motion is rather slow in terms of virus<br />

movement. This is attributed to poor efficiency in the reaction<br />

zone attached to the microchannel.<br />

Additionally, the Péclet number is shown in Equation (2).<br />

<br />

Ul<br />

d<br />

Pe<br />

(2)<br />

<br />

a<br />

D<br />

<br />

d<br />

is molecular diffusion time and<br />

a<br />

is typical hydrodynamic<br />

transport time. U, D and l are flow velocity, diffusion<br />

coefficient of molecules and depth of microfluidic channel,<br />

respectively. Taking TYMV as an example, the diffusion coefficient<br />

in water is 1.35×10 –11 m 2 /s. The Péclet number is equal to 74.07 when<br />

the fluid flow is 10 m/s while depth of microfluidic channel is<br />

100m. This shows that hydrodynamic transmission is much<br />

more effective than molecular diffusion effect.<br />

III. MICROFLUIDICS SIMULATION & EXPERIMENT<br />

3.1 Simulation<br />

Figure 3 shows the type of microenvironment adopted for<br />

this study. The design added a cylindrical structure onto a<br />

microchannel with a cross-section area of 300m×3000m.<br />

The diameter and the height of cylinder (Fig. 3) are W c and h c ,<br />

respectively. The design used W s mm ×W s mm flat sensing<br />

surface into a h s distance that is concave down. W c , W s , and h s<br />

wc<br />

ws<br />

hc<br />

hs<br />

Au<br />

PDMS<br />

Fig. 3 Microfluidic devices to produce vortex<br />

outlet<br />

This study simulated the height of the cylindrical structure,<br />

and the vorticity of the sensing field was adopted as an indicator<br />

to determine the degree of fluid rotation. The height of the<br />

cylindrical structure, hc, was divided into heights of 300 m,<br />

400 m, 500 m, and 600 m. COMSOL Multiphysics is used<br />

to predict the performance of microfluidic device.during<br />

simulation. The vorticity simulation result is shown in Fig. 4<br />

revealing a positive relationship between the overall size of<br />

vorticity and the height of the cylinder in the sensing field. The<br />

results most significantly reveal the vorticity variation on two<br />

sides of the cylinder. It is noteworthy that the vorticity in the<br />

bottom area of the 600m cylinder actually decreases rather<br />

than increases due to high flow resistance. The aforementioned<br />

easons show that a cylinder structure with a height of 500 m<br />

generates a vorticity with a wider range and higher strength and<br />

allows the fluid to generate a rotation flow effect more easily.<br />

After the height of the cylindrical structure is determined as<br />

500 m, the flow rate of fluid into the microenvironment is then<br />

discussed. The study aims to determine the minimum rate of<br />

vortex, allowing the microenvironment to generate rotational<br />

flow in multiple directions. Hydrodynamics explains that<br />

vortices are most likely to be revealed in a higher rate of flow.<br />

Moreover, the microenvironment is combined by the<br />

microchannel with the cylindrical structure and the substrate of<br />

the concaved-down sensing surface. When flow rate increases<br />

in the microenvironment, the pressure generated on the<br />

sidewall also increases. To prevent the micro channel and<br />

substrate from collapsing due to extremely high fluid pressure,<br />

this study aims to determine the minimum rate of flow for<br />

generating chaotic flow.<br />

The vorticity component and flow chart of the sensing field<br />

of X, Y, and Z directions when the inlet flow equals 20 ml / hr are<br />

small and have no obvious variation. The flow chart also<br />

reveals that the chaotic flow effect was not created in the<br />

sensing field. When the inlet flow was constantly increased to<br />

1500 ml / hr , the fluid in the cylinder front displayed significant<br />

368


coupling rotation movement in the Y and X directions as shown<br />

in the X, Y, and Z components in Fig. 5. The area on the back of<br />

the cylinder also flows rotationally in the Z direction. Rotation<br />

in the Y direction was also generated at the end of the sensing<br />

field. The flow chart also reveals the chaotic flow effect that<br />

was generated in the microenvironment at this stage<br />

(a)<br />

(c)<br />

(d)<br />

Fig. 4 Vortices when (a) hc =300 m (b) hc = 400 m (c) hc = 500 m (d) hc =<br />

600 m<br />

(a)<br />

(b)<br />

(b)<br />

11-13 <br />

May, 2011, Aix-en-Provence, France<br />

<br />

PDMS solidifies after evacuation and heating. PDMS can be<br />

removed from the inserts. Additionally, the atmospheric<br />

pressure plasma can modify the convection channel and the<br />

PDMS surface of the substrate. The parameter 0.5 Torr, 29.6<br />

Watt, and 15 min~20 min represents pressure, plasma<br />

efficiency, and surface modification time. The microchannel<br />

was then connected with the substrate after PDMS was used to<br />

implement the surface modification procedure.<br />

3.2.2 Fluorescence streamline<br />

For this part of the study, we utilized fluorescent particles<br />

to examine the actual streamline condition of the microfluidics.<br />

The fluorescent particles used had a grain size of 10m,<br />

filtering band was between 460 and 490 nm. An Olympus IX71<br />

fluorescent microscope was used in a dark environment to<br />

provide the optical band that excites the particles, allowing the<br />

fluorescent particles to generate fluorescence automatically<br />

after photo-excitation in a specific band. When the fluorescent<br />

particles move with the streamline in the channel, the trajectory<br />

of the fluorescent particles reveals the actual streamline<br />

condition of the microfluidics. Fig. 6 (a) shows the<br />

experimental results of the fluorescent streamline. The fluid in<br />

the figure rotates in direction Y when entering the sensing field<br />

from the left. This is due to the height difference between the<br />

bottom face of the sensing field and the inlet. When the fluidics<br />

passes the cylindrical structure, it reveals an identical result to<br />

the estimation implemented by the finite element simulation<br />

software Fig. 6(b). The flow rate difference causes the fluid to<br />

rotate toward direction Z at the back of the cylindrical structure.<br />

(c)<br />

Fig. 5 Simulation result when flow rate is 0.614 μm/s(a)Vorticity, x component<br />

(b)Vorticity, y component(c)Vorticity, z component(d)Streamline<br />

3.2 Microenvironment design<br />

After analysis simulation by finite element software, a 1<br />

mm cylindrical structure was added to the microenvironment.<br />

The height of the structure was 500 m while the rate of flow in<br />

the inlet area was 1500 ml / hr . The simulation result showed that<br />

the vortices were generated when the structure had a large<br />

speed difference. This phenomenon creates more opportunities<br />

for viruses to collide with the sensing field, enhancing the<br />

efficiency of the virus attachment to the field. We also<br />

discovered that a relationship might exist between the strength<br />

distribution of curl at the back of the cylinder and the<br />

fluorescence strength distribution of the attachment experiment.<br />

We discuss this further in Section 5.<br />

3.3 Fluorescence streamline experiment<br />

3.3.1 Fabrication of microfluidics environment<br />

Firstly, stainless steel or brass was processed by a CNC<br />

milling machine to produce the inserts designed in the study.<br />

After the processed metal inserts were cleaned, PDMS and<br />

hardener were fully mixed in a ratio of 10:1, and then PDMS<br />

was poured into the channel and substrate inserts respectively.<br />

(d)<br />

(a)<br />

Fig. 6 Streamlines by(a)fluorescent particles (b)simulation<br />

IV. FABRICATION OF RECOGNITION LAYERS<br />

First, the substrate and the microfluidic channel were<br />

fabricated by PDMS casting. A layer of Au film was deposited<br />

on the substrate by sputtering. Then, 20 mM 11-MUA<br />

(11-mercaptoundecanoic acid) solution was injected on the<br />

sensor surface by the microfluidics system. This links the<br />

11-MUA with gold films as self-assembled monolayers. EDC<br />

and NHS solutions (molar ratio, 2:1) were then injected on the<br />

sensor surface by the microfluidics system. In the final step,<br />

TYMV particles with fluorescent particles (NCD4) in the<br />

buffer were introduced over the sensor surface at a different<br />

flow rate. In the meantime, the sensor surface was rinsed and<br />

dried in FPLC (Fast Protein Liquid Chromatography) to avoid<br />

nonspecific adhesion. A confocal microscope was then used to<br />

monitor the sensor surface.<br />

To avoid the low-quality MUA molecular layer from<br />

affecting the adhesion effect of TYMV, the study adopted<br />

NCD-4 fluorescent particles as the sample and carried out tests<br />

on the MUA molecular layers. By adopting a cross-linker<br />

mechanism, NCD-4 and MUA can respond and bond more<br />

(b)<br />

369


11-13 <br />

May, 2011, Aix-en-Provence, France<br />

easily. Under a low temperature (4℃) environment, we utilized <br />

an injection system to inject an NCD-4 solution with a molar<br />

concentration of 854.99 nM into the microenvironment. This<br />

allowed the NCD-4 molecules to connect with the MUA<br />

molecules in the sensing field. Then, a confocal microscope<br />

was used to examine the fluorescence signal of NCD-4 to<br />

determine the coverage condition of the MUA molecular layer.<br />

The wavelength of excitation light for NCD4 quantum dots is<br />

405 nm and the wavelength of emitted light ranges from 400–<br />

420 nm.<br />

(a)<br />

V. EXPERIMENT RESULTS<br />

This study used a confocal microscope to examine the<br />

adhesion condition of TYMV on sensing surfaces. The results<br />

were further analyzed with the image analysis software ImageJ.<br />

The ImageJ software was developed by the National Institutes<br />

of Health (NIH). The study also adopted ImageJ to analyze the<br />

surface area of the sensing surface. To prevent the MUA<br />

molecular layer coverage rate affecting the final TYMV<br />

adhesion effect, the MUA self-fabricated molecular layer was<br />

examined using NCD-4 fluorescent molecules as the samples.<br />

Utilizing observation by a confocal microscope and analysis of<br />

the results, Image J software was used to calculate the<br />

fluorescent coverage rate of the sensing field. In this manner,<br />

we located the MUA molecule layer with optimal coverage rate<br />

and uniformity. After the coverage rate and uniformity of the<br />

MUA molecule layer was confirmed, a TYMV adhesion<br />

experiment was conducted. Again, a confocal microscope was<br />

used to observe and analyze the adhesive condition.<br />

To ensure the accuracy of the experimental data,<br />

establishing a database for the control group was necessary.<br />

Fluorescent strength was defined as 100 A.U. Background<br />

fluorescent signals under 100 A.U. were ignored. The Au/MUA<br />

fluorescence testing revealed an absorption peak when the<br />

wavelength equaled to 415 nm. However, the peak value was<br />

4A; hence, it did not affect the experiment when the wavelength<br />

was equal to 415 nm and can, therefore, be ignored. The degree<br />

of adhesion effect generated between Au and NCD-4 was then<br />

tested. When the wavelength equaled 410 mm, an absorption<br />

value of 260 A.U. was observed. This indicated that the<br />

autofluorescence of the two substances could influence the<br />

experiment result. Hence, future experiment statistics should<br />

filter the bright dots that have fluorescence strength of under<br />

260 A.U. to avoid errors. After the control group data was<br />

established, we formed the MUA molecular layer by the<br />

dipping method, and then observed and analyzed by NCD-4.<br />

Fig. 7(a) shows that the MUA self-assembled monolayer (SAM)<br />

matured by the dipping method attributed to an MUA<br />

molecular layer that was unevenly formed and had low<br />

coverage. This is mainly because the molecules rely only on<br />

diffusion movement of Brownian motion as well as the effect of<br />

molecular aggregation. The result showed that fluorescence in<br />

the sensing field only accounts for 33.37 % of the total sensing<br />

area. Figure 7 (b) indicates that the average coverage rate of the<br />

MUA SAMs is approximately 86 % in the microenvironment<br />

when the rate of flow equals 1500 ml/hr.<br />

Fig. 7(a) MUA by dipping method (best viewed in color) (b) MUA<br />

when infusion rate of pump is 1500 ml/hr (best viewed in color)<br />

To overcome the problem of low coverage when<br />

implementing TYMV adhesion with the dipping method, the<br />

study adopted a microenvironment to improve the weakness of<br />

the dipping method. The autofluorescence of<br />

Au/MUA/EDC/NHS/MES is then examined and found that it<br />

cannot be ignored. Fluorescence strength under 180 A.U.<br />

should be filtered out to avoid errors in future experimental<br />

statistics. The results of confocal microscopy measurement are<br />

shown in the dipping method. In these results, the concentration<br />

of TYMV is 10ng/ml, and the adhesion duration is ten hours.<br />

Only a few TYMV with quantum dots are apparent on the<br />

sensor surface. The coverage rate of TYMV is poor with the<br />

dipping method. The average fluorescence strength is<br />

approximately 342.46 A.U. whereas the average fluorescence<br />

coverage is approximately 4.23 %. Additionally, some large<br />

molecules or particles are stuck on the surface which might<br />

have resulted from the aggregation of MUA, EDC, or NHS by<br />

the dipping method. This result is unfavorable for researchers<br />

that wish to detect viruses at extremely low concentrations in<br />

the solution.<br />

Figure 8 indicates the results seen after utilization of a<br />

microenvironment to enable TYMV to adhere to the sensing<br />

surface. We can see here that TYMV with modified NCD-4 has<br />

a concentration of 10ng/ml, and pump infusion rate was<br />

1500ml/hr. The adhesion duration of TYMV was 1.4 minutes.<br />

The coverage was 70.1 % after analysis. When the fluorescence<br />

strength of X=2.5mm in Fig. 8 (the area directly behind the<br />

cylindrical structure) was analyzed, the area directly behind the<br />

cylindrical structure had a lower fluorescence strength while<br />

the sides of the structure revealed much higher fluorescence<br />

strength. The distribution is coincidentally identical with the<br />

curl size distribution that was simulated in this study. This<br />

phenomenon shows that the generation of curl contributes to<br />

the enhancement of the probability of viruses adhering to the<br />

sensing surface. The fluorescence strength is directly<br />

proportional to the size of curl in the position, as shown in Fig.<br />

9. Figure 10 reveals that a microenvironment with vortex could<br />

certainly enhance the adhesion effect of TYMV to the sensing<br />

surface. The fluorescence coverage rate and unit duration of<br />

adhesion efficiency in the microenvironment with chaotic flow<br />

is respectively 16.5 times and 7,102 times greater than adhesion<br />

efficiency with the dipping method. The adhesion duration of<br />

TYMV in the microenvironment with chaotic flow is 0.0023<br />

times less than that of dipping method.<br />

(b)<br />

370


VI. CONCLUSION<br />

This paper discusses how to increase adhesive density of<br />

linkers and viruses on a sensor surface in microfluidic channels.<br />

We designed a flow movement in a microenvironment to<br />

control the adhesive density of MUA and TYMV. Adhesive<br />

density of a linker (MUA) and viruses (TYMV) with specific<br />

fluorescent dyes were measured by a confocal microscope. Our<br />

results show that TYMV and MUA layers disperse randomly<br />

by the dipping method. Infusion rate, flow rate, and vortex flow<br />

affect the adhesive density of the recognition layer on a sensor<br />

surface. An adhesion density of MUA was 86 % when the<br />

infusion rate was 1500ml/hr in the microenvironment. This was<br />

2.57 times larger than the density detected by the dipping<br />

method. The virus, TYMV, could attain 70 % of adhesion<br />

densities when the infusion rate was 1500ml/hr in the<br />

microenvironment. The adhesion density was 16.5 times larger<br />

than the density detected by the dipping method. The duration<br />

of the experiment by vortex flow was 2.3×10 –4 times less than<br />

the duration by the dipping method. An interesting<br />

phenomenon was observed in that the fluorescence intensity<br />

distribution was similar to the vorticity distribution of<br />

simulation. Experimental results show that vortex flow method<br />

is able to increases the adhesive density of antigen-antibody<br />

reaction and it contributes to rapid and real-time detection.<br />

VII. ACKNOWLEDGMENT<br />

This paper is supported by the National Science Council,<br />

Taiwan.<br />

X<br />

Intensity (A.u.)<br />

in<br />

Y<br />

11-13 <br />

May, 2011, Aix-en-Provence, France<br />

1200<br />

out<br />

Fig. 8 TYMV by vortex flow (best viewed in color)<br />

4000<br />

3500<br />

3000<br />

2500<br />

2000<br />

1500<br />

1000<br />

500<br />

0<br />

0 500 1000 1500 2000 2500<br />

4000<br />

3500<br />

3000<br />

2500<br />

2000<br />

1500<br />

1000<br />

500<br />

0<br />

Y position (m)<br />

Fig. 9 Vorticity and fluorescence intensity by vortex flow<br />

Vorticity (1/s)<br />

Average fluorescent intensity (A.U.)<br />

1000<br />

800<br />

600<br />

400<br />

200<br />

0<br />

600 min<br />

Dipping method<br />

1.7 min<br />

Vortex flow<br />

100<br />

Fig. 10 Average fluorescent intensity and coverage by dipping method<br />

and vortex flow<br />

REFERENCES<br />

[1] E. Engvall, P. Perlman, Enzyme-linked immunosorbent<br />

assay (ELISA). quantitative assay of immunoglobulin G,<br />

Immunochemistry, 8 (1971) 871–874<br />

[2] R. M. Lequin, Enzyme immunoassay (EIA)/enzyme-linked<br />

immunosorbent assay (ELISA), Clin. Chem., 51 (2005)<br />

2415–2418 (2005)<br />

[3] W. N. Burnette, Western blotting: electrophoretic transfer<br />

of proteins from sodium dodecyl sulfate - polyacrylamide gels<br />

to unmodified nitrocellulose and radiographic detection with<br />

antibody and radioiodinated protein A, Anal. Biochem., 112<br />

(1981) 195–203 (1981)<br />

[4] H. Towbin, T. Staehelin, J. Gordon, Electrophoretic transfer<br />

of proteins from polyacrylamide gels to nitrocellulose sheets:<br />

procedure and some applications, P. Natl. Acad. Sci. U.S.A., 76<br />

(1979) 4350–4354<br />

[5] D. B. Holt, P. R. Gauger, A. W. Kusterbeck, F. S. Ligler,<br />

Fabrication of a capillary immunosensor in polymethyl<br />

methacrylate, Biosens. and Bioelectron., 17 (2002) 95–103<br />

[6] I. S. Park, N. Kim, Thiolated Salmonella antibody<br />

immobilization onto the gold surface of piezoelectric quartz<br />

crystal, Biosens. and Bioelectron., 13 (1998) 1091–1097<br />

[7] C. W. Huang, G. B. Lee, A microfluidic system for<br />

automatic cell culture, J. Micromech. Microeng., 17 (2007)<br />

1266–1274<br />

[8] B. Steinhaus, M. L. Garcia, A. Q. Shen, L. T. Angenent, A<br />

portable anaerobic microbioreactor reveals optimum growth<br />

conditions for the methanogen, Appl. Environ. Microb., 73<br />

(2007) 1653–1658<br />

[9] Y. Gao, F. Y.H. Lin, G. Hu, P. M. Sherman, D. Li,<br />

Development of a novel electrokinetically driven microfluidic<br />

immunoassay for the detection of Helicobacter pylori, Anal.<br />

Chim. Acta., 543 (2005) 109–116<br />

[10] P. Tabeling, Introduction to Microfluidics, Oxford<br />

University Press, New York, 2005, pp95-97<br />

[11] H. Bruus, Theoretical Microfluidics, Oxford University<br />

Press, New York, 2008, pp79-81<br />

[12] K. L. Bransom, J.J. Weiland, C.H. Tasi, T.W. Dreher,<br />

Coding density of the Turnip Yellow Mosaic Virus genome:<br />

roles of the overlapping coat protein and p206-readthrough<br />

coding regions, Virology 206 (1995) 403–412<br />

[13] I. N. Serdyuk, N. R. Zaccai, J. Zaccai, Methods in<br />

Molecular Biophysics Cambridge University Press, New York,<br />

2007, pp318-335<br />

80<br />

60<br />

40<br />

20<br />

0<br />

Average fluorescent coverage (%)<br />

371


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Fabrication and application of iron-oxide<br />

nanoparticle/PDMS cone in lab on a chip<br />

Cheng-Chun Huang, Ming-Dao Wu, Yu-Chi Wang, Wen-Pin Shih<br />

Department of Mechanical Engineering, National Taiwan University, Taipei, Taiwan<br />

Abstract- This paper presents the fabrication and application<br />

of an iron-oxide nanoparticle/polydimethylsiloxane (PDMS)<br />

cone as a component integrated in lab on a chip. The two main<br />

functions of this component are to capture magnetic<br />

microbeads in the microfluid and to mix two laminar fluids by<br />

generating asymmetric turbulence. The iron-oxide<br />

nanoparticle/PDMS cone is fabricated by a simple method<br />

without using any mold. The uncured iron-oxide<br />

nanoparticle/PDMS is dropped on the chip by an automatic<br />

dispenser and forms the cone shape by applying the magnetic<br />

field above the top of the drop. Finally, the cone is cured at 70 o C<br />

in the microchannel of the chip.<br />

I. INTRODUCTION<br />

Microfluidic device applications in chemical and<br />

biological process have drawn more and more attention in<br />

research due to versatile advantages such as low fabrication<br />

cost, short reaction time, and low reagent consumption.<br />

However, the laminar characteristics of the flow in the<br />

microchannel make it difficult to mix two separate flow<br />

streams together. Many mixing methodologies have been<br />

reported to enhance the mixing efficiency. One of the<br />

popular mixing methods is to generate chaotic advection<br />

utilizing particular geometric structures in the microchannel<br />

[1-5].<br />

Magnetic beads are popular carriers for biological<br />

manipulation in microfluidic system under the application of<br />

magnetic field. Particularly, bio-analytic processes such as<br />

mixing, separation, capture, and recognition could be<br />

conducted and integrated utilizing magnetic beads [6-8]. In<br />

previous studies [9, 10], the magnetic fields were applied<br />

from the outside of device. If the magnetic fields could be<br />

generated in specified locations in a microchannel, the<br />

external magnet would not be needed and hence the device<br />

implementation would be made easier.<br />

In this paper, we fabricate a magnetic nanoparticle/<br />

polydimethylsiloxane (PDMS) micro-cone for mixing fluids<br />

due to its three dimensional asymmetric shape in a<br />

microfluidic chip. The fabricated micro-cone could also be<br />

used to capture magnetic beads with its magnetism.<br />

II. DESIGN<br />

Fig. 1 depicts the design of the microfluidic chip which is<br />

composed of three polymethylmethacrylate (PMMA) layers.<br />

The microfluidic chip possesses two inlet holes which allow<br />

two different fluids to enter the microchannel and then<br />

encounter the micro-cone. These two fluids can be collected<br />

in the buffer tank and then flow out through the outlet hole.<br />

There are four alignment holes on each PMMA layer for<br />

accurately assembling the microfluidic chip.<br />

Fig. 2 illustrates the functionalities of the proposed<br />

micro-cone in the microfluidic chip. Let the first fluid in the<br />

microchannel contain particles A without magnetism and<br />

particles C coated with magnetic beads while the second<br />

fluid contains only particles B. The micro-cone is proposed<br />

to separate particles A from particles C and then to mix<br />

particles A with particles B. Before the fluids passing<br />

through the micro-cone, they are laminar flows in the<br />

microchannel. The particles C would be captured by the<br />

micro-cone due to the magnetic force when the first fluid<br />

passes through the micro-cone. Beyond the micro-cone, the<br />

first and the second fluids could be mixed due to the<br />

turbulence caused by the cone shape. Therefore, particles A<br />

and B could interact. The particles C captured on the<br />

micro-cone and the mixture of particles A and B can then be<br />

analyzed for versatile applications of lab on a chip. It is<br />

worthy to mention that the position of the proposed<br />

micro-cone has to be deviated from the central line of the<br />

microchannel for enhancing the mixing effect. In our design,<br />

the deviated distance is 10% of the microchannel width.<br />

Alignment holes<br />

Inlet holes<br />

Cone<br />

Outlet hole<br />

Channel<br />

Buffer tank<br />

Central line of<br />

the microchannel<br />

PMMA<br />

Fig. 1. Schematics of the proposed micro-cone in a microfluidic chip.<br />

372


Second<br />

fluid<br />

Particle A<br />

Particle B<br />

First fluid<br />

Captured particles C<br />

Particle C coated with magnetic beads<br />

III.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Particles A and B are mixed.<br />

FABRICATION<br />

Micro-cone<br />

Fig. 2. Illustrative function of the proposed micro-cone in a<br />

microfluidic chip.<br />

Fig. 3 details the process for fabricating the microfluidic<br />

chip which is composed of three PMMA layers. All the<br />

layers are machined using a CO 2 laser. Each layer is made of<br />

a bulk PMMA plate of 1 mm in thickness. To assemble the<br />

microfluidic chip, both sides of the middle layer are coated<br />

with adhesive of 50 μm in thickness (Fig.3 (a)). Therefore,<br />

the total depth of the microchannel is 1.1 mm. The channel<br />

width is 2 mm.<br />

To fabricate the micro-cone, the PDMS prepolymer and<br />

curing agent with 10:1 weight ratio are prepared, Then the<br />

iron(III)-oxide nanoparticles are dispersed and mixed<br />

thoroughly in the PDMS. The mixture is then put in a<br />

vacuum chamber for degassing. The iron(III)-oxide<br />

nanoparticles (SIGMA-ALDRICH) are comprised of<br />

primarily the gamma-form Fe 2 O 3 which exhibits<br />

superparamagnetic behavior [11, 12]. The average diameter<br />

of the nanoparticles is 50 nm. After degassing, the<br />

nanoparticle/PDMS composite is poured into a syringe and<br />

then another degassing process is conducted. An automatic<br />

dispenser is exploited to apply the nanoparticle/PDMS<br />

composite into the microchannel. In the beginning of the<br />

dispensing process, the initial portion of the<br />

nanoparticle/PDMS composite is disregarded in order to<br />

obtain constant volume of every single drop. A reference<br />

plate with a defined area is put onto the sample stage for<br />

aligning the dispenser (see Figs. 4 and 5). Therefore, the<br />

syringe needle can be precisely placed above the designated<br />

area. After finishing the alignment, the reference plate is<br />

replaced by bottom layer of the microfluidic chip. Then a<br />

drop of nanoparticle/PDMS composite is dispensed onto the<br />

bottom layer of the microfluidic chip (Fig. 3(b)). The<br />

dispensing pressure is 0.25 MPa. After dispensing the<br />

nanoparticle/PDMS composite, a permanent magnet is<br />

placed above the composite (Fig. 3(c)). The composite forms<br />

a cone shape due to the external magnetic field. Then the<br />

micro-cone is cured at 70 o C for 30 minutes. The fabrication<br />

environment is at 23 o C and 71% relative humility. After the<br />

micro-cone is cured, the three layers of the microfluidic chip<br />

are assembled together (Fig. 3(d)).<br />

There are two setups to facilitate the fabrication process. One<br />

is the automatic dispensing system (Fig. 4), and the other is<br />

the magnetic platform for generating the cone shape of the<br />

composite (Fig. 5). The automatic dispensing system<br />

consists of a dispenser (SR-330D), two CCD cameras, and a<br />

sample stage. The dispenser is used to apply iron-oxide<br />

nanoparticle/PDMS composites. It features three-axis<br />

movement with 50 μm resolution and a controller for<br />

adjusting the dispensing pressure and duration. The two<br />

CCD cameras assist the alignment of the syringe needle on<br />

the substrate and monitoring the dispensing process. The<br />

sample stage is used to move the substrate. The magnetic<br />

platform includes a vertical manipulation stage, a permanent<br />

magnet, a CCD camera, a light source, a sample stage, and a<br />

hot plate. The permanent magnet is connected to the vertical<br />

manipulation stage of 10 nm resolution. The high resolution<br />

of the manipulation stage is necessary to finely adjust the<br />

distance between the bottom of the magnet and surface of the<br />

substrate. The CCD camera is used to monitor the<br />

deformation of the uncured nanoparticle/PDMS composite.<br />

There is also a sample alignment stages which is placed on<br />

the hot plate so that the alignment would not be deviated in<br />

the curing process.<br />

The composites with different weight ratios of the<br />

nanoparticles which are 2.22%, 6.38%, and 10.20%,<br />

respectively are added into the PDMS for evaluating the<br />

fabrication parameters. Different magnetic fields which are<br />

controlled by the distance (D) from the bottom of the<br />

magnetic to the substrate surface are applied and measured<br />

using a Tesla meter (TM-701, KANETEC CO., LTD). The<br />

results are summarized in Table 1.<br />

(a)<br />

(c)<br />

Top layer<br />

Middle layer<br />

Bottom layer<br />

Magnet<br />

Cone<br />

(b)<br />

(d)<br />

Syringe<br />

Drop<br />

Nanoparticle/PDMS<br />

Fig. 3. Process for fabricating the nanoparticle/PDMS micro-cone. (a) The<br />

chip is composed of three bulk PMMA plates. (b) The nanoparticle/PDMS<br />

composite is applied through the automatic dispenser. (c) The micro-cone is<br />

formed under the applied external magnetic field. (d) Scheme of the fabricated<br />

microfluidic chip.<br />

373


Chip<br />

Magnet<br />

Light<br />

source<br />

Hot plate<br />

Fig. 2. The application mechanism of the chip.<br />

Reference<br />

plate with<br />

alignment<br />

ring<br />

Sample<br />

stage<br />

Syringe<br />

CCD Camera<br />

Dispenser<br />

Fig. 4. Configuration of the automatic dispensing system.<br />

Vertical<br />

manipulation<br />

stage<br />

CCD Camera<br />

Chip plate<br />

Fig. 5. Configuration of the magnetic platform.<br />

Table 1<br />

Weight ratios of nanoparticles and magnet height for parametric study<br />

Weight ratio of nanoparticles<br />

2.22 % 6.38 % 10.20 %<br />

Distance 1.0 1.25 1.5<br />

between<br />

1.25 1.5 1.75<br />

substrate and<br />

magnet (mm) 1.5 1.75 2.0<br />

Table 2<br />

The parameters of weight ratio of the nanoparticles and the magnetic filed.<br />

wt.<br />

Height increase, Δh<br />

% 30 s 40 s 50 s 60 s<br />

Distance<br />

2.22 0.033 0.040 0.048 0.054<br />

1.5<br />

between<br />

6.38 0.086 0.102 0.123 0.145<br />

substrate and<br />

6.38 0.032 0.035 0.004 0.042<br />

1.75<br />

magnet (mm)<br />

10.2 0.032 0.038 0.043 0.051<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

IV.<br />

Sample<br />

stage<br />

RESULT<br />

Fig. 6 shows the images of PDMS droplets without<br />

nanoparticles at different dispensing duration t= 2.5, 5, 7.5<br />

10 s. The dispensing pressure is fixed at 0.25 MPa. The<br />

variation of the droplet size at the same dispensing<br />

parameters is not obvious if the nanoparticles are added into<br />

the PDMS. This parametric study is conducted for obtaining<br />

the desirable droplet diameter (d) and height (h) by<br />

controlling the dispensing duration. Since the height of the<br />

microchannel is 1.1 mm, the initial height of the PDMS<br />

droplet should be relatively smaller. All the initial heights of<br />

the PDMS droplets using different dispensing durations in<br />

our tests are smaller than 1.1 mm, as shown in Fig. 7(a). The<br />

average height is h=0.09, 0.14, 0.17, 0.19 mm for t=2.5, 5,<br />

7.5, 10 s, respectively. Since the channel width is 2 mm and<br />

the deviated distance from the cone center to the central line<br />

of the microchannel is 0.2 mm, the diameter of the PDMS<br />

droplet should be smaller than 1.6 mm. The obtained cone<br />

diameter is shown in Fig. 7(b). The average diameter is<br />

d=1.28, 1.64, 1.79 mm for t=0.25, 5, 7.5 s, respectively.<br />

Therefore, the optimum dispensing duration is 5 s in this<br />

test.<br />

For each parameter in Table 1, the image of the cone<br />

formation is captured every 5 s for 60 s. For the 2.22%<br />

weight ratio with 1.0 mm magnetic height, the 6.38% weight<br />

ratio with 1.25 mm magnetic height, and the 10.2% weight<br />

ratio with 1.5 mm magnetic height, the nanoparticle/PDMS<br />

droplets are pulled up and touch the magnet immediately.<br />

Therefore, the images of the cone formation for these three<br />

particular samples are captured every 1 s. Fig. 8(a) shows<br />

the height increase (Δh) of the droplet deformation as a<br />

function of process time. All the data in Fig. 8(a) are from<br />

the samples of the 10.20% nanoparticles with the magnetic<br />

height of 1.5 mm, 1.75 mm and 2.0 mm, respectively. For<br />

the same process time, the height increase is larger for the<br />

larger magnetic height. The error bars in Fig. 8(a) stands for<br />

the standard deviation from five samples for each fabrication<br />

parameter. The deviations are attributed to the vertical and<br />

horizontal alignment between the magnet and the center of<br />

the droplet. The non-dispersed nanoparticles in the uncured<br />

PDMS might also affect the repeatability of the cone<br />

formation.<br />

The inset of Fig. 8(a) shows the height increase of the<br />

droplet deformation for the magnetic height of 1.5 mm. The<br />

five samples are pulled up and reach the magnet at the<br />

process time of 11, 12, 19, 20 and 23 s, respectively. Because<br />

the magnet is very close to the droplet, the magnetic force is<br />

strong and sensitive to the magnetic height. The variation of<br />

the initial droplet might significantly deviate the process time<br />

for the droplet to reach the magnet. The height increase of the<br />

droplet with 1.5 mm magnetic height and the nanoparticle<br />

weight ratio of 2.22%, 6.38% and 10.20%, respectively, is<br />

shown in Fig. 8(b). The height increase is larger for the<br />

higher weight ratio of nanoparticles. Table 2 shows the<br />

height increase at the process time of 30, 40, 50 and 60 s,<br />

respectively. The evolution of the height increase with the<br />

increasing process time for the samples with 1.5 mm<br />

magnetic height and 2.22% nanoparticles is close to that with<br />

374


11-13 <br />

May 2011, Aix-en-Provence, France<br />

1.75 mm magnetic height and 10.2% nanoparticles.<br />

<br />

For the 1.75 mm magnetic height in Fig. 8(a) and the (a)<br />

0.08<br />

6.83% nanoparticles in Fig. 8(b), the slope of the curve<br />

1.5<br />

changes in a piecewise manner with the process time. In the<br />

1.0<br />

beginning of applying the magnetic field, the slop is steep for<br />

0.06<br />

the process time from 0 s to 5 s in Fig. 8(a), while the slope<br />

0.5<br />

becomes smaller for the process time of 5~25 s. Fig. 9(a)<br />

0<br />

shows the fabricated microfluidic chip, and Fig. 9(b) shows<br />

0.04<br />

the cross-sectional image of the microchannel. The<br />

nanoparticle/PDMS cone is successfully fabricated in the<br />

microchannel. The height of the micro-cone is 0.3 mm, and<br />

0.02<br />

the deviated distance from the central line is 0.3 mm.<br />

(a) 0.5 mm t = 2.5 (b)<br />

t = 5 s<br />

Height difference of the drops deformation (mm)<br />

0.00<br />

0 5 10 15 20 25<br />

D=1.5 mm<br />

0 10 20 30 40 50 60<br />

Time (s)<br />

D=1.75 mm<br />

D=2.0 mm<br />

Δh<br />

Fig. 6. Images of the PDMS droplets at different dispensing durations<br />

(t). The droplet height (h) and diameter (d) are measured. (a) t=2.5 s. (b)<br />

t=5 s. (c) t=7.5 s. (d) t=10 s.<br />

(a)<br />

0.22<br />

1.26<br />

0.09 mm<br />

(c) t =7.5 s (d)<br />

t =10<br />

1.68<br />

0.18 mm<br />

1.54<br />

1.90<br />

0.14 mm<br />

0.17 mm<br />

(b)<br />

Height difference of the drops deformation (mm)<br />

0.25<br />

0.20<br />

0.15<br />

0.10<br />

0.05<br />

0.00<br />

1.5<br />

Δh<br />

1.0<br />

0.5<br />

0<br />

0 5 10 15 20 25<br />

10.20 %<br />

6.38 %<br />

2.22 %<br />

0 10 20 30 40 50 60<br />

Time (s)<br />

Droplet height (mm)<br />

(b)<br />

Droplet diameter (mm)<br />

0.20<br />

0.18<br />

0.16<br />

0.14<br />

0.12<br />

0.10<br />

0.08<br />

1.9<br />

1.8<br />

1.7<br />

1.6<br />

1.5<br />

1.4<br />

1.3<br />

h<br />

h<br />

d<br />

0.06<br />

0 2.5 5.0 7.5 10.0 12.5<br />

Dispensing time (s)<br />

2.0<br />

d<br />

1.2<br />

0 2.5 5.0 7.5 10.0 12.5<br />

Dispensing time (s)<br />

Fig. 7. Dispensing test for controlling the droplet height and<br />

diameter at the constant dispensing pressure of 0.25 MPa. (a) The<br />

relation between the droplet height and dispensing duration. (b) The<br />

relation between the droplet diameter and dispensing duration.<br />

Fig. 8. The height different, Δ h, of the nanoparticle/PDMS drops<br />

deformation. (a) the wt. % is 10.2%, the D=1.5mm, 1.75 mm and 2.0 mm. (b)<br />

D=1.5 mm, the wt. %=2.22 %, 6.38 % and 10.2%.<br />

(a)<br />

(b)<br />

0.3 mm<br />

Central line<br />

2 mm<br />

0.3 mm<br />

1.1 mm<br />

Fig. 9 The images of the fabricated microfluidic chip. (a) The fabricated chip<br />

with fluidic interconnects. (b) The cross-sectional view of the<br />

nanoparticle/PDMS cone in the microchannel.<br />

V. DISCUSSION<br />

The method to form the cone shape of the<br />

nanoparticle/PDMS composite is to exploit the uncured<br />

PDMS deformation as result of being dragged by the<br />

nanoparticles. The iron-oxide nanoparticles can be attracted<br />

by the permanent magnet. They are mixed in the PDMS and<br />

enveloped by the entangling polymer chains. As the<br />

magnetic nanoparticles are attracted by the magnetic field<br />

375


above, they would move to upward and carry the PDMS<br />

together. Because the distribution of the magnetic is<br />

hyperbolic (Fig. 10(a)), the center of the nanoparticle/PDMS<br />

composite is subjected to a relatively stronger magnetic<br />

force. Conversely, the rim of the composite is subjected to a<br />

weaker magnetic force. As a result, the nanoparticle/PDMS<br />

cone can be obtained.<br />

When the magnetic field is applied, the nanoparticles in<br />

the composite start to aggregate and to form radiated lines on<br />

the cone surface (Fig. 10(b)). There are more nanoparticles<br />

aggregating on the tip of the cone in comparison to the rim<br />

region. Therefore, a darker color around the cone tip is<br />

observed. When the nanoparticle/PDMS composite is in its<br />

uncured state, the nanoparticles are allowed to move in the<br />

PDMS. As soon as the PDMS is cured, the nanoparticles<br />

cannot move anymore. This results in the gradient<br />

magnetism due to the non-uniform distribution of the<br />

nanoparticles. Originally, the nanoparticles distribute<br />

uniformly in the PDMS. Then the magnetic field on the<br />

droplet attracts the nanoparticles which are closer to the<br />

droplet surface. These nanoparticles move upward, causing<br />

the PDMS to deform as illustrated in Fig. 11(a). The<br />

momentum of the nanoparticles causes the PDMS to deform<br />

rapidly, and hence the initial slope in Fig. 8(a) is steep. When<br />

the magnetic force and the restoration force of the polymer<br />

chains reach transient equilibrium, the speed of deformation<br />

becomes slower, as illustrated in Fig. 11(b). Although it is in<br />

equilibrium at 5 s in Fig. 8(a), the height increase reduces the<br />

distance between the magnet and the top of the droplet.<br />

Therefore, the magnetic force exerting on the nanoparticles<br />

which are close to the droplet surface increases.<br />

Nevertheless, the nanoparticles are insufficient to cause rapid<br />

deformation of the PDMS. Hence the slope becomes smaller<br />

for the process time of 5~25 s. Since the top of the droplet is<br />

subjected to a relatively stronger magnetic force, the<br />

nanoparticles in the internal portion of the droplet will move<br />

to the surface gradually and then accumulate on top of the<br />

droplet, in the center of the drop top, as illustrated in Fig.<br />

11(c). When the nanoparticles are sufficient and the droplet<br />

reaches the appropriate height, the droplet deformation<br />

becomes more rapid for the process time of 25~30 s in Fig.<br />

8(a). The nanoparticles keep moving to the droplet top and<br />

hence the PDMS deformation concentrates at the top of the<br />

droplet until the cone shape is obtained. The more<br />

nanoparticles in the PDMS, the stronger magnetism the<br />

cured cone has. However, the shorter magnetic height would<br />

cause the cone to touch the magnet. The 6.38% nanoparticles<br />

with the 1.5 mm magnetic height are the optimum parameters<br />

in this study and hence are used in the following fabrication<br />

of the microfluidic chip.<br />

Fig. 12 shows the pictures of the deformation process for<br />

the 10.2% nanoparticles at 0, 10 and 20 s in Fig. 8(a). The<br />

first set of the pictures (Figs. 12(a)-(c)) indicate that the cone<br />

for the 1.5 mm magnetic height is formed quickly at the<br />

process time of 20 s but is unstable. For the process time<br />

beyond 20 s in Fig. 8(a), the micro-cone will touch the<br />

magnet and then break into two parts, as shown in (Fig. 12(j)).<br />

The smaller portion of the composite is attached to the<br />

magnet while the large portion remains on the substrate, as<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

shown in Fig. 12(k). The process images for the magnetic<br />

height of 1.75 mm are shown in Fig. 12(d)~(f). The cone is<br />

formed gradually but cannot be clearly observed for the<br />

process time shorter than 20 s. The process images for the<br />

magnetic height of 2.0 mm are shown in Fig. 12(g)~(i). The<br />

composite barely deforms at this magnetic height because the<br />

magnetic force is not strong enough to overcome the<br />

restoration force of the polymer chains.<br />

(a)<br />

(a)<br />

(b)<br />

(c)<br />

S<br />

N<br />

S<br />

N<br />

Magnetic field<br />

S<br />

N<br />

(b)<br />

Top view<br />

Fig. 10. Illustration of the magnetism and shape-formation of the cured<br />

micro-cone. (a) Schematic of the magnetic field and nanoparticles in PDMS.<br />

(b) Top view of the fabricated micro-cone with nanoparticle aggregation.<br />

The composite is subjected to the<br />

magnetic field.<br />

Nanoparticle/PDMS<br />

composite<br />

PMMA substrate<br />

Nanoparticles move upward and reach<br />

the transient equilibrium<br />

Moving direction of<br />

nanoparticles<br />

Magnetic force<br />

Restoration force of<br />

polymer chains<br />

Nanoparticles move toward the<br />

cone tip and aggregate.<br />

Fig. 11. Illustration of the mechanism of the composite deformation.<br />

376


(a)<br />

D=1.5 mm<br />

t=0 s<br />

0.5 mm<br />

(d)<br />

D=1.75 mm<br />

t=0 s<br />

(g)<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

the arrangement of the nanoparticles. The fabricated cone in<br />

D=2.0 mm<br />

t=0 s the microchannel could be applied in lab on a chip in the<br />

future.<br />

(b)<br />

(c)<br />

(j)<br />

Breaking point<br />

D=1.5 mm<br />

t=10 s<br />

D=1.5 mm<br />

t=20 s<br />

(e)<br />

(f)<br />

D=1.75 mm<br />

t=10 s<br />

D=1.75 mm<br />

t=20 s<br />

(k)<br />

(h)<br />

(i)<br />

Smaller portion<br />

D=2.0 mm<br />

t=10 s<br />

D=2.0 mm<br />

t=20 s<br />

Larger portion<br />

Fig. 12. The process of the nanoparticle/PDMS droplet becomes a cone shape.<br />

The weight ratio of the nanoparticles is 10.2%. (a) D=1.5 mm, t=0 s. (b) D=1.5<br />

mm, t=10 s. (c) D=1.5 mm, t=20 s. (d) D=1.75 mm, t=0 s. (e) D=1.75 mm, t=10<br />

s. (f) D=1.75 mm, t=20 s. (g) D=2.0 mm, t=0 s. (h) D=2.0 mm, t=10 s. (i) D=2.0<br />

mm, t=20 s. (j) D=1.5 mm. The composite which expands between the substrate<br />

and the magnetic is about to break, (k) D=1.5 mm. The composite breaks into<br />

two parts.<br />

REFERENCES<br />

[1] H. J. Sheen, C. J. Hsu, T. H. Wu, H. C. Chu, C. C. Chang, and U. Lei,<br />

“Experimental study of flow characteristics and mixing<br />

performance in a PZT self-pumping micromixer,” Sens. Actuators, A,<br />

vol. 139, pp. 237-244, March 2007.<br />

[2] D. Stroock, S. K. W. Dertinger, A. Ajdari, I. Mezic, H. A. Stone, and<br />

G. M. Whitesides, “Chaotic mixer for microchannels,” SCIENCE,<br />

vol. 295, pp. 647-651, January 2002.<br />

[3] Y. Z. Liu, B. J. Kim, and H. J. Sung, “Two-fluid mixing in<br />

microchannel,” Int. J. Heat Fluid Flow, vol. 25, pp. 986-995, July<br />

2004.<br />

[4] A. P. Sudarsan and V. M. Ugaz, “Fluid mixing in planar spiral<br />

microchannels,” Lab Chip, vol. 6, pp. 74-82, January 2006.<br />

[5] M. Zhang, J. Wu, L. Wang, K. Xiao, and W. Wen, “A simple method<br />

for fabricating multi-layer PDMS structures for 3D microfluidic<br />

chips,” Lab Chip, vol. 10, pp. 1199-1203, May 2010.<br />

[6] S. G. Grancharov, H. Zeng, S. Sun, S. X. Wang, S. O’Brien, C. B.<br />

Murray, J. R. Kirtley, and G. A. Held, “Bio-functionalization of<br />

monodisperse magnetic tunnel junction based sensor,” J. Phys.<br />

Chem. B, vol. 109, pp. 13030-13035, April 2005.<br />

[7] M. A. M. Gijs, “Magnetic bead handling on-chip: new opportunities<br />

for analytical applications,” Microfluid Nanofluid, vol. 1, pp. 22-40,<br />

October 2004.<br />

[8] A. Sandhu, H. Handa, and M. Abe, “Synthesis and applications of<br />

magnetic nanoparticles for biorecognition and point of care medical<br />

diagnostics,” Nanotechnology, vol. 21, 442001-23, September 2010.<br />

[9] S. A. Peyman, A. Iles, and N. Pamme, “Mobile magnetic particles as<br />

solid-supports for rapid surface-based bioanalysis in continous<br />

flow,” Lab Chip, vol. 9, pp. 3110-3117, November 2009.<br />

[10] N. Pamme and C. Wilhelm, “Continuous sorting of magnetic cells<br />

via on-chip free-flow magnetophoresis,” Lab Chip, vol. 6, pp.<br />

974-980, August 2006.<br />

[11] C. Pascal, J. L. Pascal, F. Favier, M. L. E. Moubtassim, and C. Payen,<br />

“Electrochemical synthesis for the control of γ-Fe 2O 3 nanoparticle<br />

size, morphology, microstructure, and magnetic behavior,” Chem.<br />

Mater., vol. 11, pp. 141-147, January 1999.<br />

[12] L. C. A. Oliveira, R. V. R. A. Rios, J. D. Fabris, K. Sapag, V. K.<br />

Garg, and R. M. Lago, ”Clay-iron oxide magnetic composites for the<br />

adsorption of contaminants in water,” Appl. Clay Sci., vol. 22, pp.<br />

169-177, February 2003.<br />

VI. CONCLUSION<br />

An approach to fabricate the 3-D iron-oxide<br />

nanoparticle/PDMS cone in a microchannel has been<br />

demonstrated. The 6.38% weight ratio of the nanoparticles in<br />

the PDMS was used. An automatic dispenser was used to<br />

align the composite cone in the microchannel and to control<br />

the diameter of the composite droplet. It was then used to<br />

apply the uncured droplet. The dispensing pressure is 0.25<br />

MPa, and the dispensing time is 5 s. A permanent magnet<br />

connected to the vertical manipulation stage was used to<br />

attract the droplet to form the cone shape. The distance<br />

between the magnet and the PMMA substrate is 1.5 mm. The<br />

cone is cured by heating at 70 o C for 30 mins.<br />

The cone has been successfully fabricated in the<br />

microchannel. The height of the cone is 0.3 mm, and the<br />

deviated location of the cone from the central line of the<br />

microchannel is 0.3 mm. The cone has the magnetism due to<br />

377


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Diamond-based technology dedicated to Micro<br />

Electrode Arrays for Neuronal Prostheses<br />

A. Bongrain 1 , A. Bendali 2 , G. Lissorgues 3 , Lionel Rousseau 3 , B. Yvert 4 , E. Scorsone 1 , P.Bergonzo 1 , S. Picaud 2<br />

1 CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette, France<br />

2 Institut de la Vision, INSERM UMRS-968, UPMC, Paris, France<br />

3 ESYCOM - ESIEE, University Paris-Est, 93162, Noisy le grand, France, lissorgg@esiee.fr<br />

4 INCIA, University Bordeaux, France<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

I. INTRODUCTION<br />

Recent advances in nanotechnology have opened new routes<br />

for the fabrication of MicroElectrode Arrays (MEAs), offering<br />

an elegant way to probe the neuronal activity distributed over<br />

large populations of neurons either in vitro or in vivo.<br />

Specific electrical stimulations can be delivered to neuronal<br />

networks when using MEAs as stimulating electrodes.<br />

Conversely, MEAs can provide a mean to record the activity<br />

of many cells simultaneously over large neuronal networks [1<br />

- 4]. These MEAs now are an increasingly common approach<br />

for neurologic pathologies treatment strategies[5, 6]: they can<br />

be used to build neural prostheses to balance function losses<br />

due to lesions or degeneration of part of the Central Nervous<br />

System (CNS) such as for Parkinson disease treatment, or for<br />

cochlear or retinal implants.<br />

The contact to the cells for such MEAs commonly uses gold,<br />

platinum, black platinum or iridium oxide as the electrode<br />

materials. Any non-optimal contact can induce reactive gliosis<br />

(Muller cells) in the vicinity of the micro electrodes producing<br />

an insulating surface between the MEA and the neuron.<br />

This paper describes an alternative approach based on the use<br />

of a non conventional material, namely Boron Doped<br />

Diamond (B-NCD), to fabricate different kind of MEAs.<br />

Indeed diamond is now considered as a promising material for<br />

micromechanical or microelectronics device applications [7].<br />

The challenge is then to build new electrodes that exhibit both<br />

a high potential window with respect to water electrolysis, and<br />

possess a high electrode reactivity which is important to obtain<br />

high signal to noise ratios. We show that B-NCD, as fabricated<br />

using nano-processing coupled with chemical vapour<br />

deposition (CVD), leads to semiconducting electrode<br />

properties with bio-inert capabilities adapted to efficient<br />

neuronal stimulation and recording.<br />

This paper is divided into three parts. We first present the<br />

specific technology developed to fabricate diamond based<br />

MEA, then second the fabrication of the MEAs and some<br />

characterisation results. We finish introducing an application<br />

of such MEAs to retinal implants.<br />

II.<br />

DIAMOND BASED TECHNOLOGY<br />

We developed a novel technology enabling the fabrication of<br />

diamond based microelectrode arrays either on silicon, glass<br />

or soft substrates.<br />

Today, the Microwave Plasma Chemical Vapour Deposition<br />

(MPCVD) technique allows the growth of polycrystalline<br />

diamond films over large areas (2 to 4 inches on a variety of<br />

substrate materials, including silicon) but the innovation<br />

remains to accurately pattern the diamond layers to define in<br />

our case the electrodes of the MEAs. Various approaches to<br />

pattern diamond layers have been investigated, the most<br />

common approach being selective etching in oxygen/argon [8]<br />

or oxygen/CF 4 [9, 10] plasma. However, the chemical<br />

resilience of diamond renders the etching step time consuming<br />

and often unsuitable for mass production. Another technique<br />

relies on the patterning of a diamond nano powder layer from<br />

which the diamond film is selectively grown [11].<br />

As we are using Boron Doped Nanocrystalline Diamond<br />

378


(BNCD) as the active microelectrode material, our approach is<br />

based on a bottom-up patterning process [12], fully compatible<br />

with standard clean room fabrication methods.<br />

In this process, see Figure 1, the wafer (typically oxidised<br />

silicon) undergoes at first a nano-seeding operation on its<br />

entire surface by spreading a colloidal solution of diamond<br />

nano-particles in suspension in ethanol (example of nanoparticle<br />

solution: provided by SP3 SEKI Technotron). Then, a<br />

protective sacrificial metal layer is used as hard mask to<br />

protect the nano-particles while the unprotected regions are<br />

etched away using an oxygen/argon plasma RIE step.<br />

This step required technological optimization and results are<br />

reported in figure 2. One can see that after 10 minutes of<br />

plasma exposure, the crystal density decreases below 10 6 cm -2 ,<br />

and after 20 minutes duration of the plasma exposure, the<br />

changes in crystal density becomes non significant, which<br />

corresponds to the end of this etching phase. Indeed all<br />

diamond nano-particles originating from the nano-seeding<br />

have been etched away and the residual density is mainly due<br />

to surface defects, the nano-seeding density remaining above<br />

10 10 cm -2 on non etched surfaces while reaching only 5.10 5<br />

cm -2 on etched surfaces.<br />

Finally, the protective metal layer is completely removed<br />

considering that it is not affecting significantly the nanopowders<br />

immobilized onto the substrate surface. One<br />

difficulty here was the control of the uniformity of the nanoparticle<br />

distribution.<br />

The final step is the local growth of diamond on pre-defined<br />

patterned electrodes.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Residual density (cm -2 )<br />

1,0E+09<br />

1,0E+08<br />

1,0E+07<br />

1,0E+06<br />

1,0E+05<br />

1,0E+04<br />

0 5 10 15 20 25<br />

Plasma etching duration (min)<br />

Figure 2. Residual nucleation density of diamond nanoparticles<br />

versus plasma etching duration.<br />

III.<br />

MEA FABRICATION AND CHARACTERISATIONS<br />

The technological fabrication route for MEAs implies a<br />

similar process as the one described in part II. MEAs can be<br />

done either with (i) the local growth of diamond on existing<br />

metal electrodes (Titanium) and using Si 3 N 4 for insulation, or<br />

(ii) with the homogeneous growth of diamond followed by the<br />

definition of annular metallic contacts. The top side<br />

passivation is ensured using SU8. Both solutions have been<br />

tested, see Figure 3.<br />

i)<br />

ii)<br />

Figure 3. Diamond based MEA using the process i) or ii).<br />

Figure 1. Diamond based Microelectrode fabrication process.<br />

Fabricated MEAs are characterised using electrochemical<br />

measurements in ferri/ferrocyanide 1mM (cyclic voltametry<br />

and Electrochemistry Impedance Spectrocscopy (EIS)) and<br />

their performances are compared with that of Pt identical<br />

devices.<br />

In-vitro B-NCD MEAs were tested with retina of rat, i.e. (i)<br />

cultures of ganglion cells (CGC) and spinal cords, i.e. (ii)<br />

organotypic cultures of mouse spinal cords. The tests<br />

demonstrated that no difference could be observed with<br />

respect to glass control, see Figure 4. Also, no proteinic<br />

coating was found to be necessary to ensure cell growth.<br />

379


11-13 <br />

May 2011, Aix-en-Provence, France<br />

We can conclude that B-NCD offers several advantages when<br />

<br />

compared to metallic materials. Its carbon surface offers high<br />

biocompatibility, and the B-NCD potential window is about<br />

twice that of Pt.<br />

We can also see on Figure 5 that retinal ganglion cells can<br />

grow their neurites onto electrodes. Cells can be seen to<br />

organize along lines (Fig. 5B) whereas they do not show any<br />

organization in the absence of similar patterns (Fig. 5A).<br />

Such fabricated B-NCD MEAs were also tested to record the<br />

spontaneous neural activity on mouse spinal cord, and they<br />

were compared with standard Pt MEAs in term of noise level<br />

found to be around 10µV peak to peak, Figure 6.<br />

Different procedures are still under investigation to obtain<br />

other significant biological measurable signals.<br />

Figure 6. Illustration of B-NCD MEAs used to record<br />

spontaneous neural activity.<br />

IV.<br />

Recorded spontaneous activity<br />

Rms noise: 10µV peak to peak<br />

MEA APPLICATION TO RETINAL IMPLANTS<br />

10 µV<br />

A<br />

Figure 4. Retinal Ganglion Cell survival on different<br />

substrates.<br />

B<br />

At present time, in the case of retinal diseases, affecting<br />

around 12 Million people in both Europe and US, among the<br />

most frequent pathologies, photoreceptor degeneration is<br />

causing blindness in both hereditary diseases like retinitis<br />

pigmentosa and non-hereditary diseases like age-related<br />

macular degeneration (AMD).<br />

In such cases of retinal dystrophies, the number of<br />

photoreceptor cells is significantly reduced, causing a<br />

progressive reduction of visual acuity and, in worst cases,<br />

complete blindness. It can cause photoreceptor degeneration<br />

following which other layers of the retina, including bipolar<br />

and ganglion cells, partially remain [13]. Amongst several<br />

strategies, the concept of retinal prostheses was developed to<br />

restore useful vision in blind patients by activating this<br />

remaining inner retinal network using subretinal stimulation,<br />

as illustrated on Figure 7 [14].<br />

This concept was also validated in several clinical trials<br />

showing that patients were able for instance to follow moving<br />

light targets and were able to identify specific known<br />

contrasted objects [15, 16]. The first existing system (from<br />

Second Sight, US) is composed by an implanted stimulating<br />

device, using a micro-electrode array (MEA), coupled with an<br />

external camera and a coding device [17].<br />

Figure 5. Retinal ganglion cell cultures on multi-electrode<br />

arrays. Note in (B) the presence of cells organized along lines<br />

when a square pattern is aligned with electrodes.<br />

Based on the patterning of nanodiamond seeds prior to growth<br />

as described in part II, it comes possible to process structured<br />

MEAs where the active area is diamond (B-NCD). Here an<br />

additional challenge is to propose a fabrication of diamond<br />

electrodes compatible with a soft substrate material, which is<br />

achieved using a sacrificial substrate lift-off technique,<br />

resulting in retinal implants embedded into polyimide.<br />

Such implants are based on 16, 32 or 64 electrodes arrays and<br />

are tested in-vivo on rats, as one can see on Figure 8.<br />

Biologists developed a surgical technique to introduce the<br />

implants in the subretinal space of blind P23H rats. After 14<br />

weeks in vivo, the eye can be fixed and histological sections of<br />

the eye are obtained to visualize the retinal tissue with respect<br />

to the implant. These preliminary results are very encouraging<br />

because no major reactive gliosis is detected in contact with<br />

the implant. The significant reduction of glial cells appearance<br />

380


for diamond electrodes when compared to metallic electrodes<br />

are demonstrating that B-NCD MEAs provide a promising<br />

solution for the design of robust neural prostheses for long<br />

term interfacing of complex nervous systems, including retinal<br />

implants.<br />

Normal retina<br />

Degenerated retina<br />

Sub retinal position<br />

Epi retinal position<br />

Figure 7. Illustration of the MEA positioning in retinal implant<br />

strategies.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

[4] Ensell G., Banks DJ, Ewins DL & al, “Silicon based Microelectrodes for<br />

Neurophysiology Fabrication using a gold metallization/nitride passivation<br />

system.”, J. Microelectromech.Syst, 5(2), 117-121, 1996.<br />

[5] Kipke DR, Shain W, Buzsaki G, Fetz E, Henderson JM, Hetke JF, Schalk<br />

G, “Advanced Neurotechnologies for Chronic Neural Interfaces: New<br />

Horizons and Clinical Opportunities”. J Neurosci 28:11830-11838, 2008.<br />

[6] Lebedev, M. A. and M. A. Nicolelis , “Brain-machine interfaces: past,<br />

present and future”.Trends Neuroscience 29(9): 536-546, 2006.<br />

[7] Y. Gurbuz, O. Esame, I. Tekin, W. P. Kang, J. L. Davidson, “Diamond<br />

semiconductor technology for RF device applications”, Solid-State<br />

Electronics, NO 49, p. 1055-1070, 2005.<br />

[8] J. Enlund, J. Isberg, M. Karlsson, F. Nikolajeff, J. Olsson, D. J. Twitchen,<br />

“Anisotropic dry etching of boron doped single crystal CVD diamond”,<br />

Carbon 43 , p. 1839–1842, 2005.<br />

[9] H. Uetsuka, T. Yamada, S. Shikata, “ICP etching of polycrystalline<br />

diamonds: Fabrication of diamond nano-tips for AFM cantilevers”, Diamond<br />

and Related Materials 17, p. 728–731, 2008.<br />

[10] J. Zhang, J. W. Zimmer, R. T. Howe, R. Maboudian, “Characterisation of<br />

boron-doped micro- and nanocrystalline diamond films deposited by waferscale<br />

hot filament chemical vapor deposition for MEMS applications”,<br />

Diamond and related Materials 17, p. 23-28, 2008.<br />

[11] Y. Fu, H. Du, J. Miao, “Patterning of diamond microstructures on Si<br />

substrate by bulk and surface micromachining”, Material Processing<br />

Technology, NO 132, p 73-81, 2003.<br />

[12] E. Scorsone, A. Bongrain, C. Gesset, G. Lissorgues, L. Rousseau,<br />

«Process for microstructuring a diamond film », PCT/EP2009/059575, n° WO<br />

2010/010176 A1.<br />

[13] Humayun MS, de Juan E, Jr., Weiland JD, Dagnelie G, et al. 1999.<br />

« Pattern electrical stimulation of the human retina”, Vision Res 39:2569-76.<br />

[14] J. Salzmann, O.P.Linderholm, J-L Guyomard and al, ”Subretinal<br />

electrode implantation in the P23H rat for chronic stimulations”, Br. J.<br />

Ophthalmol.; 90; 1183-1187, 2006.<br />

[15] Humayun MS, Weiland JD, Fujii GY, Greenberg R, Williamson R, Little<br />

J, et al. “ Visual perception in a blind subject with a chronic microelectronic<br />

retinal prosthesis ”, Vision Res;43:2573–81, 2003.<br />

[16] Veraart C, Wanet-Defalque MC, Gerard B, Vanlierde A, Delbeke J.<br />

“Pattern recognition with the optic nerve visual prosthesis”, Artificial Organs;<br />

27:996–1004, 2003.<br />

[17] Weiland JD, Liu W, Humayun MS. “Retinal prosthesis”, Annual Rev<br />

Biomed Eng 7:361-401, 2005.<br />

a) b)<br />

Figure 8. Example of B-NCD MEA used as retinal implants<br />

a) the MEA on a soft substrate b) a prototype in the subretinal<br />

space with retinal blood vessels clearly seen above.<br />

ACKNOWLEDGMENT<br />

The authors want to acknowledge the French ANR for<br />

granting this project referred as MEDINAS, ANR-07<br />

TecSan-014.<br />

REFERENCES<br />

[1] Blum, R.A.; Ross, J.D.; Brown, E.A.; DeWeerth, S.P., “An Integrated<br />

System for Simultaneous, Multichannel Neuronal Stimulation and<br />

Recording”, IEEE Transactions on Circuits and Systems I, vol. 54, No. 12, p.<br />

2608 – 2618, 2007.<br />

[2] Chen C., Yao D-J., Tseng S.H., Lu S-W., Chiao C-C., Yeh S-R. Micromulti-probe<br />

electrode array to measure neural signals, Biosensors and<br />

Bioelectronics 24, 1911–1917, 2009.<br />

[3] Charvet G, Rousseau L, Billoint O, Gharbi S, Rostaing J-P, Joucla S,<br />

Trevisiol M, Chauvet P, Moulin C, Goy F Mercier B, Colin M, Fanet H,<br />

Meyrand P, Guillemaud R, Yvert B. “BioMEA: A versatile high-density 3D<br />

microelectrode array system using integrated electronics”. Biosens<br />

Bioelectron, 2010.<br />

381


11-13 <br />

May, 2011, Aix-en-Provence, France<br />

<br />

Measurement of Diffusivity in Nanochannels<br />

Yu-Tze Tsai 1 1, 2*<br />

and Gou-Jen Wang 1 Department of Mechanical Engineering<br />

2 Graduate Institute of Biomedical Engineering<br />

National Chung-Hsing University, Taichung 40227, Taiwan<br />

Tel:+886-4-22840725 x 320<br />

Email: gjwang@dragon.nchu.edu.tw<br />

Abstract- Diffusion is the ruling manner of the migration of ions<br />

through a nanochannel. Fick’s law and its derivatives are used as<br />

the basis for diffusion mathematical modeling. In this study, a<br />

simple principle for the detection of the diffusivity of<br />

nanoparticles in a nanochannel based on the Fick’s first law is<br />

proposed. The diffusivity in a nanochannel can be estimated by<br />

simply plotting the natural logarithmic value of the electrolyte<br />

conductance difference across the nanochannel versus time and<br />

calculating its slope. Experimental results demonstrate the<br />

feasibility of the proposed nanochannel diffusivity measuring<br />

scheme.<br />

I. INTRODUCTION<br />

Most of the physiological reactions in a cell are owing to<br />

the small changes of the surrounding environment. The small<br />

variations of the ambient environment are carried out in terms<br />

of the migrations of ions through the ion channels of the cell<br />

membrane, resulting in slight molecular variations inside the<br />

cell. The migrations of calcium ions, potassium ions, and<br />

sodium ions are the well-known examples. The slight<br />

molecular variations hence induce syntheses of corresponding<br />

macromolecules such as proteins to counter the variations. The<br />

in-vivo detection of the physiological reaction induced<br />

molecular variations can provide a very useful tool for better<br />

understanding of the physiological reaction. Hence the trend of<br />

nanopore research has been pushed forward by the recent<br />

progress in nanobiotechnology. The applications of nanopore in<br />

biotechnology include ion-pumping [1], ion-channel biosensors<br />

[2], DNA sequencing [3-4], polymers moving counting [5],<br />

biosensor [6], artificial cell membrane [7, 8], and nucleic acid<br />

detection [9-12].<br />

Diffusion is the ruling manner of the migration of ions<br />

through a nanochannel. Diffusion due to concentration gradient<br />

allows particles to travel from a higher concentration region to a<br />

lower concentration region. Diffuser, mixer, reactor, and<br />

doping of semiconductor are the commonly seen applications in<br />

our daily life [13-15]. Fick’s law [16] and its derivatives are<br />

used as the basis for diffusion mathematical modeling [17-19].<br />

If effective methods for the on-line sensing of the diffusion<br />

coefficient and concentration gradient can be developed, it will<br />

provide a useful tool for the modeling and investigation of the<br />

dynamic behavior of ions in a nanochannel. Resultantly the<br />

physiological reactions of a cell due to small variations of the<br />

ambient environment can be further explored.<br />

For the measurement of diffusivity in microchannel<br />

devices, many approaches such as the on-the-flyby<br />

-electrophoresis [20], stopped flow [21], and the E-field method<br />

[22] have been proposed. Culbertson et al. measured the<br />

diffusion coefficient of microfluidic devices using a static<br />

imaging method and three dynamic methods--stopped flow,<br />

E-field method, and length method [23]. Wu et al. [24]<br />

observed that the etching rate of oxide in a nanochannel is much<br />

slow than that in a microchannel. It was presumed that the cause<br />

is the low diffusivity of the etchant molecules in a nanochannel.<br />

If the diffusivity in a microchannel was multiplied by 6.5×10 -2<br />

as the nanochannel diffusivity, the resulting etching rate could<br />

match the experimental results. However, the presumption for<br />

the nanochannel diffusivity was not further verified by a real<br />

measurement.<br />

Besides the ion concentration gradient across the<br />

nanochannel, the migration of charged nanoparticles in a<br />

nanochannel was also influenced by the electric double-layer<br />

and the Zeta potential on the channel wall [25-27]. A feasible<br />

method for the measurement of the diffusivity in a nannochanel<br />

is thus desired. In this study, a simple principle for the detection<br />

of the diffusivity of nanoparticles in a nanochannel based on the<br />

Fick’s first law is proposed. Anodic aluminum oxide (AAO)<br />

membranes are used to replace membranes with single<br />

nanochannel for the measurement of the diffusivity. A<br />

home-made electrochemical bath that can hold an AAO<br />

membrane to separate vessels with different ion concentrations<br />

is built. The across channel ionic concentration difference is<br />

estimated in terms of the conductance difference across the<br />

AAO membrane using a Wheatstone bridge circuit.<br />

II. MATERIALS AND METHODS<br />

Assuming ideal diffusive behavior, for a sufficiently low<br />

diffusivity membrane and sufficiently large vessels, the<br />

concentration profile across the membrane should become<br />

practically linear after some initial induction period. At this<br />

point, the flux of iodide would be constant across the membrane,<br />

and the corresponding concentration gradient would also be<br />

constant. This behavior is known as the constant gradient<br />

approximation (CGA) [28], and has been used elsewhere to<br />

analyze diffusion data. The schematic shown in Figure 1<br />

depicts a system in the constant gradient state. The thin line<br />

depicts the concentration of iodide throughout the system;<br />

382


11-13 <br />

May, 2011, Aix-en-Provence, France<br />

constant in each vessel and a straight line with constant slope <br />

1<br />

across the membrane. The membrane apparent diffusivity is D,<br />

cs<br />

(6)<br />

<br />

M<br />

Rs<br />

the thickness is l, the area is A, and the volume of each vessel is<br />

v 1 and v 2 , each with iodide concentration c 1 and c 2 , respectively.<br />

j<br />

DA 1 1<br />

( ) j<br />

t l v1 v2<br />

(1)<br />

Substituting Fick’s law into the above equation, the time<br />

dependent concentration difference c(t) (c(t)=c 1 (t)- c 2 (t)) can<br />

be expressed as:<br />

DA 1 1<br />

ct ( ) c(0)exp( ( ) t)<br />

l v1 v2<br />

(2)<br />

Figure 2. Wheatstone bridge circuit for electrolyte conductance measurement<br />

= c(0)exp( t/ )<br />

Substituting Equation (6) into Equation (4), the diffusivity<br />

The above equation can be used to estimate the variation of of the nanochannel can be calculated as follows.<br />

concentration difference across the membrane when the<br />

l Mi<br />

l<br />

D ( ) ( ) K<br />

diffusion coefficient is known.<br />

DM<br />

Akq (1/ Rs11/ Rs2)<br />

Akq<br />

(7)<br />

R s1 and R s2 denote the resistances of the electrolytes in<br />

vessel 1 and vessel 2 , respectively. Assuming the diffusivity of the<br />

nanochannelsis fixed, i/(1/ Rs 1/ R 1 s<br />

) K<br />

2 D<br />

is a constant.<br />

Substituting Equation (7) into Equation (2),<br />

Rt<br />

() KD<br />

1 1<br />

exp( M<br />

( ) t)<br />

R(0)<br />

kq v1 v2<br />

(8)<br />

where Rt () 1/ Rs<br />

1() t 1/ Rs2()<br />

t is the conductance difference<br />

between vessel 1 and vessel 2. The above Equation can by<br />

Figure 1: Schematic of the constant gradient approximation (CGA) rewritten as Equation (9) under the natural logarithmic<br />

operation.<br />

2.1 Diffusivity measurement principle<br />

ln( Rt<br />

( ) / R(0)) KD<br />

1 1<br />

[ ( )] M<br />

K<br />

(9)<br />

M<br />

Recall the CGA system illustrated in Figure 1, the current<br />

t kq v1 v2<br />

through the membrane due to diffusion of ions can be estimated<br />

1 ln( Rt<br />

( ) / R(0))<br />

as shown in Figure 1 based on the Fick’s law.<br />

M<br />

<br />

(10)<br />

c<br />

K t<br />

i jAkq D Akq<br />

(3) Substituting Equation (10) into Equation (7), the estimation of<br />

x<br />

the diffusivity can be further simplified using Equation (11).<br />

Where k is the number of charges each ion carries, q is the<br />

l 1 ln( R( t) / R(0))<br />

magnitude of electronic charge =1.610 -19 C. The diffusion D <br />

(11)<br />

A(1/ v<br />

coefficient of the membrane can be estimated using the formula<br />

11/ v2)<br />

t<br />

shown below.<br />

When a nanochannel with knowing cross-sectional area and<br />

i x l i<br />

length is used as the passageway for the diffusion of<br />

D <br />

(4) nanoparticles, the diffusivity in the nanochannel can be<br />

Akq c Akq ( c1c<br />

2)<br />

estimated by simply plotting the natural logarithmic value of<br />

the electrolyte conductance difference across the nanochannel<br />

versus time and calculating its slope.<br />

For a given nanochannel with predesigned depth and<br />

cross-sectional area, its diffusivity can be estimated by<br />

measuring the ratio of the ionic diffusion induced current and<br />

the concentration difference across the nanochannel. The<br />

problem in hands is how to precisely measure the concentration<br />

difference.<br />

Since the conductance of an electrolyte is proportional to its<br />

ionic concentration [29-32], the across pore ionic concentration<br />

difference can be estimated by the conductance difference. The<br />

conductance of an electrolyte can be measured using the<br />

Wheatstone bridge circuit shown in Figure 2. Where R s denotes<br />

the resistance of the electrolyte and can be determined<br />

according to Equation (5). The ionic concentration can be<br />

estimated using Equation (6). M represents the Moore<br />

conductance of the electrolyte.<br />

Vref<br />

R1Vo<br />

( R1R3)<br />

Rs<br />

R2[ ]<br />

(5)<br />

V R V ( R R )<br />

ref<br />

3 o 1 3<br />

2.2 Nanochannel fabrication<br />

In this study, anodic aluminum oxide (AAO) membranes<br />

are used to replace membranes with single nanochannel for the<br />

measurement of the diffusivity. The feasibility study is shown<br />

below.<br />

According to the definition of flux, Fick’s law can be rewritten<br />

as,<br />

c<br />

ni<br />

ji<br />

- Di<br />

<br />

(12)<br />

x A<br />

i<br />

t<br />

Where n i is the total number of particles diffusing through<br />

nanochannel i of area A i within time interval t.<br />

c<br />

ni - Di Ait<br />

(13)<br />

x<br />

383


11-13 <br />

May, 2011, Aix-en-Provence, France<br />

Assume there are N nanochannels in the applied AAO <br />

membrane. The total number of particles passing through the<br />

N<br />

AAO membrane during time interval t is n . The flux passing<br />

through the AAO membrane can be estimated as,<br />

N N N<br />

c<br />

c<br />

ni (- Di At<br />

i<br />

) ( DiAi)<br />

c <br />

i1 i1 x x<br />

i1<br />

(14)<br />

j - D <br />

x<br />

N N N<br />

<br />

( A) t ( A)<br />

t A<br />

<br />

i1<br />

<br />

i i i<br />

i1 i1 i1<br />

The diffusion coefficient of the AAO membrane can be<br />

calculated to be,<br />

N<br />

D ( DA)/<br />

A<br />

N<br />

<br />

(15)<br />

i i i<br />

i1 i1<br />

For those uniformly distributed nanochannels in an AAO<br />

membrane, the diffusion coefficient for each nanochannels can<br />

be assumed to be similar. It can be derived from Eq. (15) that<br />

the diffusion coefficient of the AAO membrane is close to that<br />

of the individual nanochannel. It is thus feasible using an AAO<br />

membrane to replace a nanochannel for the diffusion<br />

coefficient measurement.<br />

The AAO templates were prepared using the well known<br />

anodizing process. Aluminum foils (99.9995% pure; 175 m<br />

thick) were cleansed using ethanol and then acetone, followed<br />

by annealing at 400C for 3 hours in a vacuum.<br />

Electropolishing was then carried out using a 1:4 perchloric<br />

acid and anhydrous ethanol solution as the electrolyte, under a<br />

constant voltage of 20 V at 40 C for 2 minutes to further polish<br />

the surfaces of the foil. A =10 mm home-made Teflon fixture<br />

was used for the anodization. The anodization process was<br />

conducted using a 0.3 M oxalic acid solution as the etchant<br />

under 90 V of applied voltage at 0C for 2 hours. The remaining<br />

aluminum beneath the barrier layer was dissolved in an aqueous<br />

CuCl 2 HCl solution that was prepared by dissolving 13.45 g of<br />

CuCl 2 powder in 100 ml of 35 wt% hydrochloric acid solution.<br />

The sample was then immersed in a 30 wt% phosphoric acid<br />

solution at room temperature for 80 min to process the barrier<br />

layer by purging and pore widening.<br />

2.3 Experimental apparatus<br />

An electrochemical bath that could hold an AAO membrane<br />

to separate vessels with different ion concentrations was built<br />

(Figure 3). The size of each vessel is 2 2 2 cm 3 . A CH263A<br />

electrochemical analyzer (CH Instruments) integrated with a<br />

Wheatstone bridge circuit having R 1 =R 2 =R 3 =200 was used<br />

for the conductance measurement. The electrolyte used was<br />

potassium chloride (KCl) with initial concentrations of 1M and<br />

0.25 M in vessel 1 and vessel 2, respectively.<br />

i<br />

Figure 3. Electrochemical bath that could hold an AAO thin film to separate<br />

vessels with different ion concentrations<br />

III. RESULTS AND DISCUSSIONS<br />

Figure 4 is a SEM image of an AAO membrane. The<br />

nanopore diameter is around 80 nm and the thickness is 60 m.<br />

The rate of coverage of the nanopores is estimated around<br />

84.2%. Since the diameter of the AAO membrane is 1 cm, the<br />

pore area can be calculated to be 0.6613cm 2 . Three membranes<br />

were fabricated.<br />

Figure 4. SEM image of an AAO membrane<br />

Figure 5 shows the diffusion induced i-t curves for various<br />

AAO membranes. The numerals denote the sample number.<br />

The ion diffusion induced currents reach their steady-state<br />

conditions in less than 200 sec. In general, 80-nm diameter<br />

pores should allow both the cation (K + , 0.137 nm) and anion<br />

(Cl - , 0.181 nm) to penetrate simultaneously. Therefore, the KCl<br />

electrolysis may not be suitable for the measurement<br />

experiments. However, it was reported that there are 4.3 K + ions<br />

and 0.067 Cl - ions respectively on average flow in a nano<br />

channel due to the electric osmosis inside the nanochannel [33].<br />

It is reasonable to assume that K + ions contribute most of the<br />

diffusion current.<br />

384


11-13 <br />

May, 2011, Aix-en-Provence, France<br />

<br />

y 0.0062x0.932<br />

ln( R(t)/ R(0))<br />

y 0.0069x1.292<br />

y 0.007x1.973<br />

Figure 5. Diffusion induced i-t curves for various AAO membranes<br />

Figure 6 displays the trajectories of the ratio of conductance<br />

difference ( Rt<br />

( ) / R(0)<br />

) with respect to the i-t curves shown in<br />

Figure 5. The conductance difference data were measured using<br />

the Wheatstone bridge circuit shown in Figure 2.<br />

Figure 6. Trajectories of the ratio of conductance difference ( Rt<br />

( ) / R(0)<br />

)<br />

The ( ln( Rt<br />

( ) / R(0))<br />

, t) curves are plotted in Figure 7.<br />

Since the ion diffusion induced currents as shown in Figure 5<br />

almost reached their steady-state conditions in less than 200 sec,<br />

the ( ln( Rt<br />

( ) / R(0))<br />

, t) curves were linearly approximated for<br />

the period from 0 to 180 sec. The approximation equations are<br />

listed adjacent to each curve. The slope of each<br />

( ln( Rt<br />

( ) / R(0))<br />

, t) curve can thus be calculated as depicted in<br />

Table 1. The diffusivity for each sample is calculated using<br />

Equation (11) and shown in Table 1. It can be observed that the<br />

diffusivities are close.<br />

R()<br />

t<br />

ln( )<br />

R(0)<br />

t<br />

D<br />

(m 2 /sec)<br />

Table 1. Diffusivity for various AAO membrane<br />

#1 #2 #3 mean<br />

-0.0070 -0.0062 -0.0069<br />

-0.0067<br />

0.00036<br />

2.5410 -8 2.2510 -8 2.5010 -8 2.430.13<br />

10 -8<br />

Figure 7. ( ln( Rt<br />

( ) / R(0))<br />

, t) curves for different samples<br />

IV. CONCLUSION<br />

Most of the physiological reactions in a cell are owing to<br />

the small changes of the surrounding environment. The in-vivo<br />

detection of the physiological reaction induced molecular<br />

variations can provide a very useful tool for better<br />

understanding of the physiological reaction. The small<br />

variations of the ambient environment are carried out by way of<br />

the diffusion of ions through the ion channels of the cell<br />

membrane. In this study, a simple principle for the detection of<br />

the diffusivity of nanoparticles in a nanochannel based on the<br />

Fick’s first law is proposed. Anodic aluminum oxide (AAO)<br />

membranes are used to replace membranes with single<br />

nanochannel for the measurement of the diffusivity. An<br />

electrochemical bath that can hold an AAO membrane to<br />

separate vessels with different ion concentrations is built. The<br />

across channel ionic concentration difference can be estimated<br />

in terms of the conductance difference that is measured using a<br />

Wheatstone bridge circuit. The diffusivity in the nanochannel<br />

can be estimated by simply plotting the natural logarithmic<br />

value of the electrolyte conductance difference across the<br />

nanochannel versus time and calculating its slope. The average<br />

diffusivity in an AAO membrane with nanopore diameter being<br />

around 80 nm and the thickness being 60 m was measured to<br />

be 2.430.1310 -8 m 2 /sec.<br />

ACKNOWLEDGEMENTS<br />

The authors would like to address their thanks to the<br />

National Science Council of Taiwan for their financial support<br />

of this work under grant NSC-98-2212-E-005-072- MY3.<br />

REFERENCES<br />

[1] Z. Siwy, and A. Fulinski, Am. J. Phys. 72, 567, 2004.<br />

[2] H. Uno, Z. L. Zhang, M. Suzui, R. Tero, Y. Nonogaki, S. Nakao, S. Seki,<br />

S.Tagawa, S. Oiki, and T. Urisu, Jpn. J. Appl. Phys. 45, L1334, 2004.<br />

[3] S. Howorka, S. Cheley, and H. Bayley, Nature. Biotechnol. 19, 636, 2001.<br />

[4] A. F. Sauer-Budge, J. A. Nyamwanda, D. K. Lubensky, and D. Branton,<br />

Phys. Rev.Lett. 90, 238101, 2003.<br />

[5] S. M. Bezrukov, I. Vodyanoy, and V. A. Parsegian, Nature 390, 279-291,<br />

385


11-13 <br />

May, 2011, Aix-en-Provence, France<br />

1994.<br />

<br />

[6] A. Aksimentiev, J. B. Heng, G. Timp, and K. Schulten, Biophys. J. 87, 2086,<br />

2004.<br />

[7] W. Romer, and C. Steinem, Biophys. J. 86, 955, 2004.<br />

[8] A. Zemel, D. R. Fattal, and A. Ben-Shaul, Biophys. J. 84, 2242, 2003.<br />

[9] M. Akenson, D. Branton, J. J. Kasianowicz, D. Brandin, and D. W. Deamer,<br />

Biophys. J. 77, 3227, 1999.<br />

[10] A. Meller, L. Nivon, and D. Branton, Phys. Rev. Lett. 86, 3435, 2001.<br />

[11] R. Gaspaac, D. T. Mitchell, C. R. Martin, Electrochim. Acta 49, 847, 2004.<br />

[12] J. Mathé, A. Aksimentiev, D. R. Nelson, K. Schulten, and A. Meller, Proc.<br />

Nat.Acad. Sci. USA 102, 2377, 2005.<br />

[13] G. T. A. Kovacs, Micromachined Transducers Sourcebook (McGraw-Hill:<br />

NewYork 2000) p.706.<br />

[14] M. Sato, A. Yamada, and R. Aogaki, Jpn. J. Appl. Phys. 42, 4520, 2003.<br />

[15] D. Strock, S. K. W. Dertinger, A. Ajdari, I. Mezic, H. A. Stone, and G.<br />

M.Whitesides,Science 295, 647, 2002.<br />

[16] J. Philibert, Diffusion Fundamentals 2, 1.1-1.10, 2005.<br />

[17] W. E. Alley and B. J. Alder, Phy. Rev. Lett. 43, 653, 1979.<br />

[18] M. H. Lee, Phy. Rev. Lett. 85, 2422, 2000.<br />

[19] B. Ph. van Milligen, P. D. Bons, B. A. Carreras, and R. Sánchez, Eur. J.<br />

Phys. 26,913, 2005.<br />

[20] C. T. Culbertson, S. C. Jacobson, M. Ramsey, Talanta 56, 365, 2002.<br />

[21] Y. Walbroehl, J. W. Jorgenson, J. Microcolumn Separations 1, 41, 1989.<br />

[22] Y. J. Yao, S. F. Y. Li, J. Chromatograhic Science 32, 117, 1994<br />

[23] C. T. Culbertson, S. C. Jacobson and J. M. Ramsey, Talanta, 56 (2),<br />

365-373, 2002<br />

[24] C. Wu, Z. Jin, H. Ma, S. Lin, Y. Wang, J. Micromechanics and<br />

Microengineering 16, 2323, 2006.<br />

[25] G. L. Fain: Molecular and Cellular Physiology of Neurons (Harvard<br />

UniversityPress, New York 1999) p.63<br />

[26] R. B. Schoch, H. V. Lintel, and P. Renaud, Physics of fluids 17, 100604,<br />

2005<br />

[27] J. F. Smalley, M. D. Newton, and S. W. Feldberg, Electrochem. Commun.<br />

2, 832, 2000.<br />

[28] K. A. Snyder, Concrete Science and Engineering 3, 216, 2001<br />

[29] D. Q. Li, Microfluid Nanofluid, 1, 1, 2004.<br />

[30] D. Monk, Controlled structure release for silicon surface micromachining,<br />

Ph.D. thesis, University of California, Berkeley 164-180, 1993.<br />

[31] G. A. Bozhikov, G. D. Bontchev, P. I. Ivanov, A. N. Priemyshev, O. D.<br />

Maslov, M. V. Milanov, and S. N. Dmitriev, J. of Radioanalytical and<br />

Nuclear Chemistry 258, 645, 2003<br />

[32] T. Shedlovsky, A. S. Brown, D. A. Macinnes, Trans. Electrochem. Soc. 66,<br />

1934.<br />

[33] C. L. Gardner, W. Nonner, and R. S. Eisenberg, J. Computational<br />

Electronics 3, 25-31, 2004.<br />

Dr. Gou-Jen Wang received the B.S. degree on 1981<br />

from National Taiwan University and the M.S. and<br />

Ph.D. degrees on 1986 and 1991 from the University<br />

of California, Los Angeles, all in Mechanical<br />

Engineering. Following graduation, he joined the<br />

Dowty Aerospace Los Angeles as a system engineer<br />

from 1991 to 1992. Dr. Wang joined the Mechanical<br />

Engineering Department at the National Chung-Hsing<br />

University, Taiwan on 1992 as an Associate Professor<br />

and has become a Professor on 1999. From<br />

2003-2006, he served as the Division Director of<br />

Curriculum of the Center of Nanoscience and Nanotechnology. Since 2007, he<br />

has been the joint Professor and Chairman of the Graduate Institute of<br />

Biomedical Engineering, National Chung-Hsing University, Taiwan. On 2008,<br />

he served as the Conference Chair of the Microfabrication, Integration and<br />

Packaging Conference (April/2008, Nice, France). From 2009, he is a<br />

Committee member of the Micro- and Nanosystem Division of the American<br />

Society of Mechanical Engineers. His research interests include MEMS,<br />

biomedical micro/nano devices, nano fabrication, and dye-sensitized solar<br />

cells.<br />

386


11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

Energy Harvesting System for Cardiac Implant<br />

Applications<br />

Martin Deterre (1,2,3) , Bertrand Boutaud (1) , Renzo Dalmolin (1) , Sébastien Boisseau (4) , Jean-Jacques Chaillout (4) ,<br />

Elie Lefeuvre (2,3) , Elisabeth Dufour-Gergam (2,3)<br />

(1) Sorin CRM SAS, Clamart 92143, France<br />

(2) Univ Paris- Sud, Laboratoire IEF, UMR 8622, Orsay 91405, France<br />

(3) CNRS, Orsay 91405, France<br />

(4) CEA-LETI, MINATEC, Grenoble 38054, France<br />

Abstract- The miniaturization process in active medical<br />

implantable devices is driving the development of novel energy<br />

sources such as small volume, high longevity energy harvesting<br />

systems. In this study, we present an approach for the design<br />

of an inertial energy scavenger powering cardiac implants<br />

from heart generated vibrational energy. The heart<br />

acceleration spectrum has been measured and analyzed.<br />

Achievable power level and design parameters are determined<br />

from a spectral analysis to about 100µW before electronics<br />

efficiencies for a 0.5 cm 3 volume.<br />

I. INTRODUCTION<br />

Advances in microfabrication and bio/chemical<br />

engineering techniques are now enabling a large variety of<br />

miniaturized implantable systems for sensing, health<br />

monitoring or deficiency treatments. This progress is<br />

driving physicians and patients to express an increasing<br />

need for miniaturized implantable devices as they are<br />

offering less invasive implantation procedures, greater<br />

comfort for the patient, improved performance, and often<br />

provide innovative measurements and treatments [1]. Fig. 1<br />

illustrates the recent remarkable expansion of the<br />

application field of these devices.<br />

Fig. 1. Broadening diversity of the implantable medical devices<br />

applications [1].<br />

These devices most often need to include an energy<br />

source to power their active elements, such as sensing<br />

components or transmission modules, while keeping the<br />

size at the smallest level. Some progress has been made in<br />

battery technology, but batteries have more and more<br />

difficulties to follow the size reduction rhythm of the active<br />

components without significantly shortening the device<br />

lifetime [2, 3]. An alternative approach is to harvest the<br />

energy available from the surrounding environment. But<br />

traditionally energy harvesting devices can produce only a<br />

limited amount of power as the quantity of wasted energy to<br />

be harvested is small. Hence, first energy harvesting<br />

applications were limited to very low duty cycle systems.<br />

But progress in electronics power management in<br />

conjunction with the above-mentioned miniaturization<br />

process is now increasingly reducing sensors and<br />

miniaturized devices power requirements. In the meantime,<br />

performances and efficiencies of harvesting devices are<br />

improving [4, 5, 6]. This opens energy harvesting power to<br />

an always greater number of applications including medical<br />

implants. Furthermore, the substantial amount of energy<br />

produced by the human body motivates the development of<br />

an element that could extract a part of it. This humangenerated<br />

energy is available at various locations in the<br />

body and can take different forms: dissipated heat, inertia,<br />

muscle contraction, joint movement, heel strike, etc…<br />

Numerous types of human body energy sources are<br />

presented in a study by Starner [7, 8]. For instance,<br />

consumed power levels are calculated to be in the order of<br />

several watts from body heat, about one watt from breathing<br />

and one watt from blood pressure. The latter energy source<br />

has been exploited by Clark and Mo [9] where a<br />

piezoelectric membrane for blood pressure variation energy<br />

harvesting has been studied. Some commercial applications<br />

of human-powered devices have already been developed,<br />

such as shake-driven flashlights, thermal or inertia driven<br />

wristwatches or heel-strike powered LEDs to name a few<br />

[10]. A more extensive review of human body energy<br />

scavenging microsystems has been published by Romero et<br />

al. [11].<br />

387


This phenomenon is now reaching the particular case of<br />

pacemakers, where power consumption and theoretical<br />

generated power from a reasonably sized energy harvester<br />

are both reaching a value of several tens of microwatts.<br />

Goto et al. [12] have already proven the feasibility of using<br />

an energy harvesting system to power a mongrel dog’s<br />

pacemaker. In their work, they removed the powergenerating<br />

mechanism from a SEIKO kinetic watch and<br />

encapsulated it in a polyvinyl case. SEIKO’s energy<br />

harvesting system is based on a rotating eccentric mass<br />

transmitting its energy through a gear train to a rotor that<br />

generates a voltage electromagnetically. This device is then<br />

placed on the right atrioventricular wall of the dog’s heart.<br />

Although the extracted energy (13 µJ/heartbeat) was lower<br />

than the pacemaker consumption (50 µJ/heartbeat), the<br />

feasibility of an energy harvester powered pacemaker is<br />

envisioned. Tashiro et al. also addressed this subject in [13]<br />

where they present an experiment of an electrostatic system<br />

harvesting enough energy from the motion of a canine heart<br />

wall to power a pacemaker. However, this system is so<br />

cumbersome that it would be impossible to implant and it<br />

had to stay on a simulation table for this proof of concept<br />

experiment.<br />

II. INERTIAL ENERGY HARVESTERS<br />

The vast majority of up-to-date energy harvesters are<br />

based on inertial power generation [6, 14, 15]. This focus is<br />

due to two main reasons: vibrations are widespread in our<br />

environment and acceleration is inherently transferred<br />

through packaging, which greatly helps sealing and<br />

integration. These considerations apply for harvesting the<br />

vibrational energy near the heart. While some of the<br />

conventional energy harvesting technologies are not<br />

applicable such as photovoltaic or thermoelectric<br />

conversions as the body is mostly opaque and<br />

thermoregulated, heart beats are providing a continuous<br />

source of vibrational energy. Additionally, the inertial<br />

harvesting device can be properly encapsulated in a rigid<br />

package which helps biocompatibility and integration.<br />

Under the assumption that the transducing force<br />

(electromagnetic, electrostatic or piezoelectric) [6, 15] is<br />

acting as a viscous damper, a typical inertial energy<br />

harvesting system can be modeled as in Fig. 2, following<br />

the analysis of [16].<br />

Fig. 2. Mechanical system of an inertial energy harvester with viscous<br />

damping transduction.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

In this figure, m represent the proof mass, k represents<br />

the stiffness of the spring attaching the proof mass to the<br />

frame, b m and b e respectively the mechanical and transducer<br />

(electrical) viscous damping constants, y(t) the<br />

displacement of the frame and z(t) the relative displacement<br />

of the proof mass. The equation of motion can be written as:<br />

. (1)<br />

If the excitation is harmonic at an angular frequency ω, we<br />

can analytically find an expression for the displacement and<br />

the mean power of the transducing force [14] is expressed<br />

as:<br />

<br />

<br />

<br />

<br />

<br />

<br />

, (2)<br />

<br />

where ω n represents the resonant angular frequency ⁄ ,<br />

and ζ e and ζ m represent the normalized electrical and<br />

mechanical damping ratios , ⁄ 2√, and Y 0 is the<br />

frame motion amplitude. This expression shows that in<br />

order to maximize the output power, the system resonant<br />

frequency should match the excitation frequency as closely<br />

as possible. Additionally, the electrical damping ratio<br />

should be equal to the mechanical damping ratio and they<br />

need to be as small as possible. However, one should be<br />

careful of the displacement range that will greatly increase<br />

when the damping decreases.<br />

As the excitation is rarely purely harmonic, the response<br />

to the whole excitation spectrum has to be analyzed. If the<br />

spectrum has a narrow bandwidth and is not subjected to<br />

shift, then a high quality factor harvester centered on the<br />

same frequency can generate a high mechanical<br />

amplification hence a high power as expressed in (2). The<br />

limitation comes then from the travel range, as the<br />

amplitude of the mass movement is largely amplified by the<br />

same quality factor. Hence, high quality factor inertial<br />

harvesting systems are best suited for narrow and stable<br />

spectrum, low amplitude excitation. This is typically<br />

interesting for industrial applications or for machines that<br />

vibrate at specific known frequencies, such as the electrical<br />

grid frequency. When the excitation spectrum is wide or has<br />

an unsteady peak, energy harvesters should be damped<br />

further in order to provide mechanical amplification for a<br />

broader range of frequency, even though the amplification<br />

magnitude is lower. This principle has been applied by<br />

Despesse et al. in [17] where highly damped electrostatic<br />

harvesters able to harvest wide spectrum vibrations such as<br />

cars, drill or metallic stairs vibrations are presented.<br />

III.<br />

HEART ACCELERATION ENERGY HARVESTER<br />

A. Heart acceleration spectrum<br />

To predict the amount of energy that can be harvested<br />

from the heart acceleration and to determine the harvesting<br />

device and transducer characteristics, the acceleration<br />

spectrum of the heart has to be measured. Therefore we<br />

have implanted different types of accelerometers (one- or<br />

388


three-dimensional sensors) inside several heart cavities and<br />

recorded the acceleration. We then transferred these<br />

measures into the frequency domain to analyze the<br />

spectrum.<br />

It has been found that the main excitation component is<br />

concentrated on the heartbeat frequency. This frequency lies<br />

generally in the 1-1.5 Hz range, but is subjected to<br />

continuous change depending on the individual’s activity<br />

and can go up to three hertz during physical exercise.<br />

Additionally, it has been found that the spectrum shows an<br />

interesting plateau in the 10-30 Hz frequency range, which<br />

corresponds to the width of the main acceleration impulse<br />

in time domain (tens of microseconds). The amplitude of<br />

the acceleration is found to be approximately three times<br />

more important in the ventricle than in the atrium. One of<br />

the principle challenges in the design of a heart inertial<br />

harvester consists in the considerable variations of the<br />

acceleration spectrum shape and amplitude from a patient to<br />

the next, and also for different conditions on a single patient<br />

(heart activity, heart health or artificial stimulation to name<br />

a few). The magnitude of these variations can go up to 50%<br />

depending on the cases. For this reason, it has been chosen<br />

to illustrate the acceleration spectrum with a typical shape<br />

of what can be found in the right atrium (Fig. 3), keeping in<br />

mind that the variance is very large.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

one gram and can be easily extrapolated for higher masses.<br />

Simulation results are shown in Fig. 4 where a mechanical<br />

damping coefficient of ζ m =0.005 has been chosen (typical<br />

parameter for silicon based microsystems). The power<br />

profile depends greatly on the electrical damping factor ζ e ,<br />

as the latter determines the broadness and magnitude of the<br />

mechanical amplification spectrum. In an electrostatic<br />

harvesting system, this electrical damping can be tuned to<br />

optimize the output power by playing with the electrical<br />

voltage, the electrode pattern or the downstream electronics.<br />

The same considerations apply for electromagnetic systems,<br />

but these typically require a permanent magnet or are very<br />

sensitive to magnetic field. As implants are likely to require<br />

compatibility with MRI imaging systems, the latter<br />

transducing method is discarded in this study. In the<br />

piezoelectric transduction case, the electrical damping<br />

depends mainly on the piezoelectric material properties and<br />

geometry.<br />

Fig. 4. Simulated output power per gram of proof mass as a function of the<br />

harvesting system resonant frequency for different electrical damping<br />

factors. The inset shows a close-up on the 20-30 Hz plateau.<br />

Fig. 3. Typical shape of the acceleration spectrum in the right atrium.<br />

The frequency plateau around 20 Hz could<br />

advantageously be targeted for energy harvesting<br />

applications, as high frequencies generally provide better<br />

power performances for a lower volume and shorter travel<br />

range, as well as being more suitable for MEMS<br />

fabrication. In accordance to the above-mentioned<br />

discussion, this type of spectrum (wide and prone to shift) is<br />

appropriate for a low quality factor, significantly damped<br />

energy harvesting system.<br />

B. Generated power<br />

By applying the same method as in Despesse et al. [17]<br />

and integrating (2) where Y 0 is deduced from the<br />

acceleration (a=Y 0 ω 2 ) for our typical input spectrum<br />

depicted in Fig. 3, we can theoretically determine the<br />

recoverable power in function of the energy harvester<br />

resonant angular frequency ω n . As the output power is<br />

proportional to the proof mass (2), simulations are run for<br />

As expected from the above discussion, the highest<br />

output power is generated around the heartbeat frequency<br />

for low damping systems. Also, the plateau in the low tens<br />

of hertz frequency range can be identified and designing a<br />

system for this frequency range could provide up to about<br />

30 µW/g of power. The fact that the power level is higher<br />

for ζ e =0.1 than for ζ e =0.01 and for ζ e =1 confirms that the<br />

electrical damping has to be carefully chosen in order to<br />

collect a wide part of the spectrum without reducing<br />

excessively the displacement amplitude. In the present case,<br />

ζ e =0.1 seems to be a reasonable choice. Although power<br />

levels are about ten times higher at very low frequency (1-3<br />

Hz), the system cannot be reasonably designed for those as<br />

it would require extremely compliant springs when coupled<br />

to the required mass. Low resonant frequency<br />

microfabricated energy harvesters can implement high<br />

aspect ratio parylene springs to further reduce the stiffness<br />

[18, 19]. Alternatively, more complex systems include a<br />

frequency up-conversion system that transfers the energy<br />

collected by a low frequency element to a high frequency<br />

high efficiency transducer [20-22]. However, it often<br />

includes non-MRI compatible magnetic components or<br />

suffers from mechanical impacts.<br />

389


C. Proof mass displacement<br />

Moreover, the proof mass displacement is a critical<br />

parameter to determine as stated previously. From the<br />

displacement expression derived from (1) and integrated for<br />

the acceleration spectrum we can simulate the amplitude of<br />

the proof mass displacement as a function of the system<br />

resonant frequency (Fig. 5). This simulation confirms the<br />

fact that a freely resonating system below approximately<br />

five hertz is inconceivable as it would induce a<br />

displacement of several centimeters. Such a system would<br />

be space-constraint and would require mechanical stops<br />

against which the proof mass will bump into regularly,<br />

hence reducing the mechanical reliability of the system.<br />

Furthermore, the electrical damping factor ζ e has a<br />

significant effect on the displacement amplitude as shown<br />

in Fig. 5. A high damping factor is needed to limit the travel<br />

range, keeping in mind that it could reduce the output<br />

power as shown in Fig. 4.<br />

11-13 <br />

May 2011, Aix-en-Provence, France<br />

<br />

factor and fatigue resistance). Typical dimensions of such<br />

flexible springs made in the bulk of a silicon wafer are in<br />

the order of a few tens of micrometers for the width and a<br />

couple of millimeters for the length. Overall, the size of a<br />

complete harvester system for this application has to be in<br />

the order of 15 x 7 x 5 mm 3 to fit all the components as well<br />

as accommodate for the proof mass travel range.<br />

IV.<br />

CONCLUSION<br />

Through in-situ measurements, a typical shape of the<br />

heart acceleration spectrum has been determined. We<br />

presented a preliminary design study of an inertial energy<br />

scavenger able to provide 100 µW of power before<br />

application of the transducer and the downstream power<br />

management electronics efficiency coefficients. It consists<br />

of a mass of 3.5 g of tungsten with millimeter long, tens of<br />

microns wide connecting arms in the bulk of a silicon<br />

wafer. The volume of the whole system is expected to be in<br />

the order of 500 mm 3 . This energy harvester module could<br />

be implanted in a pacemaker or other implant on the heart<br />

and provide enough energy for battery-less autonomous<br />

operation.<br />

ACKNOWLEDGMENT<br />

Heart acceleration measurements were conducted by<br />

Sorin CRM Clinical Research and Advanced Research<br />

departments through the help of Alaa Makdissi.<br />

Fig. 5. Simulated displacement of the proof mass as a function of the<br />

harvesting system resonant frequency for different electrical damping<br />

factors.<br />

D. System design<br />

The best compromise between power output, travel range<br />

and frequency shift tolerance seems to be for medium<br />

electrical damping (ζ e = 0.1) and a resonant frequency<br />

around 25 Hz. For these parameters, we obtain a smooth<br />

harvesting spectrum, a displacement of a few millimeters<br />

for approximately 30 µW per gram output power.<br />

Considering the electrical consumption of a pacemaker<br />

and the efficiencies of the transduction and the downstream<br />

power management electronics, an approximate power of<br />

100 µW is required. This corresponds to about 3.5 g of<br />

proof mass for our system. In order to limit the volume to a<br />

fraction of a cubic centimeter, the proof mass has to be<br />

made of a high density material. The choice of a tungsten<br />

alloy seems natural due to its very high density (ρ ≈ 17.5<br />

g/cm 3 ) and its reasonable price and manufacturability.<br />

Hence, the proof mass has a volume of 200 mm 3 . Then, we<br />

can determine the system stiffness k. For a 25 Hz resonant<br />

system, this corresponds to approximately k = 100 N/m.<br />

The springs that connect the proof mass to the frame can be<br />

made in microstructured silicon for ease of fabrication as<br />

well as mechanical performances (high mechanical quality<br />

REFERENCES<br />

[1] X. Wei, J. Liu, “Power sources and electrical recharging strategies<br />

for implantable medical devices” Front. Energy Power Eng.<br />

China 2008, 2(1): 1–13, DOI 10.1007/s11708-008-0016-32005<br />

[2] K. A. Cook-Chennault, N. Thambi and A. M. Sastry, “Powering<br />

MEMS portable devices - a review of non-regenerative and<br />

regenerative power supply systems with special emphasis on<br />

piezoelectric energy harvesting systems”, Smart Mater. Struct. 17,<br />

2008 043001, doi: 10.1088/0964-1726/17/4/043001<br />

[3] J. Lueke and W. A. Moussa “ MEMS-Based Power Generation<br />

Techniques for Implantable Biosensing Applications”, Sensors<br />

2011, 11, 1433-1460; doi:10.3390/s110201433<br />

[4] P. D. Mitcheson, E. M. Yeatman, G. K. Rao, A. S. Holmes, and T.<br />

C. Green “Energy Harvesting From Human and Machine Motion<br />

for Wireless Electronic Devices”, Proceedings of the IEEE, Vol.<br />

96, No. 9, September 2008<br />

[5] A. Harb, “Energy harvesting: State-of-the-art”, Renewable Energy,<br />

In Press, Corrected Proof, Available online 10 July 2010, ISSN<br />

0960-1481, DOI: 10.1016/j.renene.2010.06.014.<br />

[6] S. P. Beeby, M. J. Tudor and N. M. White, “Energy harvesting<br />

vibration sources for microsystems applications” Meas. Sci.<br />

Technol. 17 (2006) R175–R195 doi:10.1088/0957-0233/17/12/R01<br />

[7] T. Starner, “Human-powered wearable computing” IBM Syst. J. ,<br />

1996, 35 618–29<br />

[8] T. Starner and J. A. Paradiso 2004 “Human generated power for<br />

mobile electronics Low Power Electronics Design”, Low Power<br />

Electronics Design, Piguet, C. (ed), CRC Press, Summer 2004<br />

[9] W. W. Clark and C. Mo, “Piezoelectric Energy Harvesting for Bio<br />

MEMS Applications”, Energy Harvesting Technologies 2009, Part<br />

V, 405-430, DOI: 10.1007/978-0-387-76464-1_16<br />

[10] J. Paradiso, T. Starner, “Energy Scavenging for Mobile Wireless<br />

Electronics”, IEEE Pervasive.Comput, (2005) 18-27.<br />

[11] E. Romero, R. O. Warrington and M. R. Neuman, “Energy<br />

scavenging sources for biomedical sensors”, Physiol. Meas. 30,<br />

2009, R35–R62<br />

[12] H. Goto, T. Sugiera, Y. Harada and T. Kazui, “Feasibility of using<br />

the automatic generating system for quartz watches as a leadless<br />

390


11-13 <br />

May 2011, Aix-en-Provence, France<br />

pacemaker power source”, Med. Biol. Eng. Comput., 1999, 37 <br />

377–80.<br />

[13] R. Tashiro, N. Kabei, K. Katayama, F.Tsuboi, K. Tsuchiya,<br />

“Development of an electrostatic generator for a cardiac pacemaker<br />

that harnesses the ventricular wall motion”, J. Artif. Organs, 2002<br />

5:239–245<br />

[14] P. D. Mitcheson, T. C. Green, E. M. Yeatman, and A. S. Holmes,<br />

“Architectures for Vibration-Driven Micropower Generators”<br />

Journal of Microelectromechanical Systems, Vol. 13, No. 3, June<br />

2004 429<br />

[15] S. Roundy, “On the effectiveness of vibration-based energy<br />

harvesting”, J. Intell. Mater. Syst. Struct., 2005, 16 809–23.<br />

[16] C.B. Williams, R.B. Yates, “Analysis of a micro-electric generator<br />

for Microsystems”, Transducers 95/Eurosensors IX, p 369-372,<br />

1995.<br />

[17] G. Despesse, T. Jager, J.-J. Chaillout, J.-M. Leger, S Basrour,<br />

”Design and fabrication of a new system for vibration energy<br />

harvesting”, Research in Microelectronics and Electronics, 2005<br />

PhD Vol.1 pp 225 – 228, doi:10.1109/RME.2005.1543034<br />

[18] Y. Suzuki, D. Miki, M. Edamoto and M. Honzumi, “A MEMS<br />

electret generator with electrostatic levitation for vibration-driven<br />

energy-harvesting applications”, J. Micromech. Microeng. 20,<br />

2010, 104002 (8pp) doi:10.1088/0960-1317/20/10/104002<br />

[19] T. Tsutsumino, Y. Suzuki, N. Kasagi, K. Kashiwagi, and Y.<br />

Morizawa, “Micro Seismic Electret Generator for Energy<br />

Harvesting”, TechnicalDigest PowerMEMS 2006 (Berkeley,<br />

U.S.A., November 29–December 1 2006 ) 279-282<br />

[20] H. Kulah and K. Najafi, “An electromagnetic micro power<br />

generator for low-frequency environmental vibrations”, 17th IEEE<br />

International Conference on Micro Electro Mechanical Systems,<br />

2004, pp. 237 - 240<br />

[21] T. Galchev, H. Kim, and K. Najafi, “A Parametric Frequency<br />

Increased Power Generator for Scavenging Low Frequency<br />

Ambient Vibrations”, Procedia Chemistry 1, 2009, 1439–1442<br />

[22] L. Gu and C. Livermore, “Impact-driven, frequency up-converting<br />

coupled vibration energy harvesting device for low frequency<br />

operation”, Smart Mater. Struct. 20, 2011, 045004 (10pp)<br />

doi:10.1088/0964-1726/20/4/045004<br />

391


11-13 May 2011, Aix-en-Provence, France<br />

Behavioural Modelling of MEMS oscillators<br />

and Phase noise simulation<br />

G. Papin 1 , R. Levy 1 , G. Lissorgues 2 , P. Poulichet 2<br />

1<br />

ONERA-DMPH, 29 av. de la division Leclerc, 92322, Chatillon, France, Guillaume.Papin@onera.fr, Raphael.Levy@onera.fr<br />

2<br />

ESIEE, University Paris-Est, 93162, Noisy le grand, France, lissorgg@esiee.fr, p.poulichet@esiee.fr<br />

Abstract- MEMS oscillators offer interesting prospects in<br />

terms of performance. Behavioural modelling in Verilog-A and<br />

phase noise simulation can help improving the current<br />

performances. The proposed macro-model permits multiphysic<br />

simulations including mechanical, piezoelectric and<br />

electrical analytic descriptions. Phase noise analysis are then<br />

performed with this model and compared to the standard<br />

Leeson phase noise calculation.<br />

Key words- MEMS, resonator, oscillator, Verilog-A model,<br />

phase noise<br />

I. INTRODUCTION<br />

Vibrating MEMS sensors such as accelerometers [1], [2] or<br />

quartz crystal microbalances [3] use a resonator driven at its<br />

resonance by an oscillator circuit. The output of such sensors<br />

is the frequency of the output signal representing the physical<br />

measured data. Their performances are limited by frequency<br />

stability and phase noise.<br />

In order to predict the behaviour and all phenomenons<br />

within MEMS oscillators, a multiphysics model including the<br />

mechanical behaviour of the resonator, the transduction type<br />

and the electronics is under development. The Cadence<br />

software suite enables the model development in Verilog-A –a<br />

modelling language adapted for multiphysics design– and<br />

phase noise analysis with Virtuoso SpectreRF Simulator.<br />

Firstly the multiphysics verilog-A model is described, then<br />

simulations are run and compared to experimental<br />

measurements, and finally phase noise analysis are performed<br />

and discussed.<br />

II. MODEL DESCRIPTION<br />

The MEMS oscillator model is divided into two blocks<br />

(figure 1): the resonator including its mechanical behaviour<br />

and piezoelectric transduction, and the oscillator circuit. This<br />

later block is split up into two sub-blocks: the<br />

transconductance amplifier and the feedback amplifier<br />

(Automatic Gain Control). Each block contains the equations<br />

that describe its own physical behaviour.<br />

Automatic Gain<br />

Control<br />

Fig. 1. MEMS oscillator model<br />

A. The resonator<br />

The resonator mechanical behaviour in one dimension is<br />

described by the spring-mass-damper system shown in figure<br />

2.<br />

Fx<br />

Fig. 2. The spring-mass-damper system.<br />

The equation is given by (1):<br />

m x<br />

+ ρ x<br />

+ k x = F<br />

(1)<br />

x<br />

F x is the excitation force, x the mechanical displacement, m<br />

the mass, k x the spring constant and ρ x the damper constant.<br />

Its electrical equivalent representation including the<br />

mechanical and the piezoelectric domains is an oscillating<br />

RLC circuit (figure 3).<br />

L1<br />

V x<br />

C0<br />

m o<br />

Resonator<br />

Oscillator<br />

circuitry<br />

T1<br />

k x<br />

x<br />

R1<br />

x<br />

C1<br />

Fig. 3. The resonant RLC circuit.<br />

The transformer T1 describes the piezoelectric transduction.<br />

Here, piezoelectricity is used to transform mechanical energy<br />

into electrical energy. The 1 st order piezoelectricity<br />

transduction is described in the Verilog-A model by equations<br />

(2) and (3).<br />

ρ x<br />

Transconductance<br />

amplifier<br />

x<br />

392


11-13 May 2011, Aix-en-Provence, France<br />

V<br />

x<br />

= nx<br />

Fx<br />

(2)<br />

i n x<br />

x<br />

= (3)<br />

n x is the piezoelectric conversion factor, V x , the resonator<br />

excitation voltage and i x , the motional current.<br />

C 0 is the inter-electrode capacitance formed by the<br />

electrodes with quartz as dielectric. Finally, the output current<br />

in the resonator is:<br />

i<br />

tot<br />

x<br />

dVx<br />

= C 0<br />

+ nx<br />

x<br />

(4)<br />

dt<br />

+<br />

V +<br />

VerilogA OUT<br />

-<br />

Rf<br />

V −<br />

V<br />

Fig. 4. transconductance amplifier<br />

The resonator block in Verilog-A is built with equations (1),<br />

(2), (3) and (4). They give the physical description. The code<br />

is:<br />

module resonator(a,b);<br />

input a; output b;<br />

electrical a,b;<br />

kinematic Z,Fx;<br />

kinematic_v Vc;<br />

parameter real k=1,4e3 from [0:inf);<br />

parameter real m=1e-8 from [0:inf);<br />

parameter real ρ=3,1e-7 from [0:inf);<br />

parameter real n=2,8e-3 from [0:inf);<br />

analog<br />

begin<br />

Vel(Vc)


11-13 May 2011, Aix-en-Provence, France<br />

R1<br />

V in<br />

+<br />

V +<br />

VerilogA<br />

OUT<br />

-<br />

V −<br />

V<br />

Transconductance<br />

amplifier output<br />

R2<br />

Rf<br />

Resonator<br />

input<br />

C1<br />

Fig. 6. Integrator block<br />

From now, these blocks stand as the MEMS oscillator model,<br />

which consists of the association of the resonantor and the<br />

oscillator circuit. Then transient simulations can be performed<br />

and compared to experimental measurements to validate the<br />

model.<br />

Fig. 7. Starting oscillator<br />

This accelerometer being modelled by equation (1), the<br />

theoretical resonance frequency f 0 is given by (6) and (7)), and<br />

should be 59,5kHz using values from Table 1:<br />

k x<br />

2<br />

ω<br />

0 = with ω<br />

0<br />

= 2π<br />

f0<br />

(6), (7)<br />

m<br />

III.<br />

MODEL SIMULATIONS<br />

One finds the same value from direct measurement on the VIA<br />

and from temporal simulations.<br />

A. Transient simulation<br />

The Cadence transient simulation allows solving the current<br />

and voltage in each node of the MEMS oscillator model. To<br />

run the simulations, it becomes necessary to input a Dirac<br />

spike on the transconductance amplifier. Indeed, this type of<br />

self-sustained oscillator starts from the white noise flowing in<br />

the loop. As the loop gain is greater than one at the resonator<br />

eigenfrequency f 0 , it is the only frequency amplified.<br />

17µs<br />

Transconductance<br />

amplifier output<br />

In order to validate the model, simulations are performed<br />

taking into account the parameters of the VIA Vibrating Beam<br />

Accelerometer developed at ONERA [4] shown in table 1.<br />

TABLE I<br />

VIA accelerometer parameters<br />

m (kg) ρ x (kg/s) k x (kg/s²)<br />

1.10 -8 3,1.10 -7 1,4.10 3<br />

These parameters have been extracted from measurements on<br />

existing VIA devices.<br />

The starting oscillator is shown in figure 7:<br />

Resonator<br />

input<br />

Fig. 7. Transient simulation<br />

Indeed, the transient simulation shows about a 17µs period i.e.<br />

59kHz frequency. Moreover, the transconductance amplifier<br />

has multiplied the amplitude by 10 and is limited by the<br />

Automatic Gain Control.<br />

B. Phase noise simulations<br />

Once the resonance frequency is found, the Virtuoso<br />

SpectreRF Simulator can simulate the loop phase noise.<br />

The interesting point of this model resides in the control<br />

possibility of the system parameters on each block. Thus, it is<br />

possible to obtain the evolution of the phase noise as a<br />

function of the resonator physical parameters. Phase noise<br />

simulation is performed using the PSS module (Periodic<br />

Steaty-State).<br />

The module advantage is the simulation time. Indeed, it avoids<br />

the long time temporal simulation.<br />

394


The simulation output phase noise has been compared with the<br />

theoretical phase noise from Leeson effect.<br />

11-13 May 2011, Aix-en-Provence, France<br />

One can calculate the phase noise of such an oscillator with<br />

the Leeson effect [5], and examine the phase noise variations<br />

with the resonator physical parameters. The Leeson equation<br />

between the oscillator and the feedback amplifier [6] phase<br />

spectral densities follows relation (8):<br />

Leeson frequency<br />

17Hz<br />

1 ν<br />

0 2<br />

Sϕ<br />

( f ) = [1 + ( ) ] S ( f )<br />

2 φ<br />

(8)<br />

f 2Q<br />

S ϕ<br />

( f ) is the phase spectral density of the oscillator<br />

S φ<br />

( f ) is the phase spectral density of the amplifier<br />

ν<br />

0 is the resonance frequency and Q is the quality factor of<br />

the resonator.<br />

f is the offset frequency from the carrier.<br />

The cut-off frequency, called Leeson’s frequency, is:<br />

ω<br />

f L<br />

= 0<br />

(9)<br />

2Q<br />

Simulations are run taking the physical quantities of the VIA<br />

(table 1) into account. The quality factor of the characteristic<br />

equation (1) is:<br />

Fig. 8. phase noise output<br />

This Leeson's model theoretical value matches the verilog-A<br />

model simulation described above. Leeson’s frequency varies<br />

only with the damping coefficient and the mass.<br />

In the next simulations, only the mass of the<br />

resonator is changed. The theoretical Leeson's frequency is<br />

proportional to m -1 . We have previously shown that the<br />

Leeson's frequency was 15.5 Hz with a mass of 1.10 -8 kg. For<br />

1.10 -9 kg and 1.10 -10 kg, we have respectively frequencies of<br />

155Hz and 1.55 kHz, as verified by the following simulation<br />

on figure 9:<br />

ω m<br />

Q = 0<br />

(10)<br />

ρ<br />

x<br />

The Leeson’s frequency is:<br />

ρ<br />

x<br />

f<br />

L<br />

= (11)<br />

2m<br />

f L<br />

= 15. 5Hz<br />

Phase noise analysis is performed with Virtuoso simulator and<br />

the output signal is selected to create the phase noise output. It<br />

becomes possible to read the Leeson’s frequency (figure 8).<br />

Fig. 9. phase noise output depends on the mass<br />

C. Influence of the transconductance amplifier noise<br />

The white noise and flicker noise can be added to this<br />

model and particularly to the transconductance amplifier<br />

block.<br />

1. White noise<br />

White noise function is added to the the transconductance<br />

amplifier plus pin. Therefore, we add a VerilogA block. A<br />

Cadence special function is used : white_noise().<br />

395


The VerilogA block code is :<br />

11-13 May 2011, Aix-en-Provence, France<br />

module generator_noise(a,b)<br />

input a; output b;<br />

electrical a, b;<br />

parameter real noise_generator=1e-10 from [0:inf);<br />

analog<br />

begin<br />

V(a,b)


The oscillator output phase noise calculated from the<br />

Leeson formula is then:<br />

S<br />

2 2<br />

1 ν<br />

0 2 2en<br />

Rm<br />

f ) = 10log([1 ( ) ] )<br />

2<br />

2<br />

(18)<br />

f 2Q<br />

V R<br />

ϕ<br />

( +<br />

2<br />

x f<br />

11-13 May 2011, Aix-en-Provence, France<br />

2. Flicker noise<br />

The flicker_noise function is also added into the<br />

transconductance amplifier plus pin. Flicker noise is directly<br />

visible on the output phase noise. The results are consistent<br />

with the Leeson effect.<br />

IV. CONCLUSION AND FUTURE WORK<br />

As a fundamental result, a MEMS oscillator model has been<br />

developed using the multiphysics Verilog-A language. This<br />

model includes the mechanical and piezoelectric equations,<br />

and the electronic circuitry. This description main asset lies in<br />

allowing the study of critical parameters influencing<br />

performances and especially the phase noise analysis.<br />

This model will be used to compare the influence on phase<br />

noise performances of different types of transduction (optical<br />

and electrostatic…) and other types of oscillator circuits as<br />

PLL oscillator circuit [7]. These values will also be compared<br />

with experimental results obtained with MEMS oscillators.<br />

REFERENCES<br />

[1] O. Le Traon, “The VIA Vibrating Beam Accelerometer: Concept and<br />

Performances”, Proceedings of the PLAN Symposium, 1998.<br />

[2] O. Le Traon, D. Janiaud, M. Pernice, S. Masson, S. Muller, J-Y<br />

Tridera, “A New Quartz Monolithic Differential Vibrating Beam<br />

Accelerometer”, Position, Location, And Navigation Symposium, pp.6-15,<br />

2006<br />

[3] Loreto Rodríguez-Pardo, “Sensitivity, Noise, and Resolution<br />

in QCM Sensors in Liquid Media”, IEEE Sensors Journal, vol.5, n°6, 2005<br />

[4] O. Le Traon, “The VIA Vibrating Beam Accelerometer: Concept and<br />

Performances”, Proceedings of the PLAN Symposium, 1998.<br />

[5] D.B. Leeson “A simple model of feedback oscillator noise spectrum”,<br />

Proceedings of the IEEE, vol. 54, issue 2, p. 329-330, 1966.<br />

[6] E. Rubiola, R. Brendel “A generalization of the Leeson effect”,<br />

arXiv:1004.5539 [physics.ins-det], April 2010.<br />

[7] R. Levy, D. Janiaud, O. Le Traon, S. Muller, JP. Gilles, G. Raynaud,<br />

“A new analog oscillator electronics applied to a piezoelectric<br />

vibrating gyro”, Proceedings of the IEEE Frequency Control Symposium<br />

pp.326-329, 2004<br />

Author Biography:<br />

Guillaume Papin is engineer from the ENSMM since 2010. He is currently a<br />

PhD student at ONERA working on the development of multi-physic models<br />

to improve phase noise performances of vibrating MEMS sensors.<br />

397


Author Index<br />

Abi-Saab D. 81<br />

Aimez Vincent 300<br />

Aini Md Ralib Aliza 85<br />

Akarvardar K. 348<br />

Allen David M. 29<br />

Angelescu D. 81<br />

Anis Nurashikin Nordin 18, 85<br />

Ardila G. 348<br />

Asgari M.B. 3, 103<br />

Ayon Arturo 72, 137, 185<br />

Azaïs F. 14<br />

Ballet Jérôme 249<br />

Bancaud Aurélien 241<br />

Bartolucci Giancarlo 263<br />

Basset P. 81<br />

Begbie M. 253<br />

Bendali A. 378<br />

Bergonzo P. 378<br />

Berthillier Marc 187<br />

Boisseau Sébastien 386<br />

Bongrain A. 378<br />

Bornoff Robin 324<br />

Bosch Robert 1<br />

Bossuyt Remy 249<br />

Bouchaud Jérémie 211<br />

Bourouina T. 81<br />

Boutaud Bertrand 386<br />

Bouteloup G. 348<br />

Brisard Thierry 211<br />

Brown Keith 41<br />

Brusa Eugenio 356<br />

Buser R. 128<br />

Camon Henri 241, 249<br />

Cano Jean-Paul 249<br />

Chabanov Andrey 72, 185<br />

Chaehoi A. 253<br />

Chaillout Jean-Jacques 386<br />

Chang Ho-Hsien 352<br />

Chang Pei Hua 151<br />

Chang Ting-Chou 245, 305<br />

Chang Tung-Yu 294<br />

Chao Ching-Kong 176<br />

Charlot Benoît 134<br />

Charrette Paul G. 300<br />

Chatani Keisuke 338<br />

Chau Lai-Kwan 245, 305<br />

Chen Chien-Hsing 245, 305<br />

Chen Chonglin 72, 185<br />

Chen Chun Huei 170<br />

Chen Guan-Lan 159<br />

Chen Jyh Jian 170<br />

Chen Taco 329<br />

Cheng Ya-Chi 159<br />

Chuang Cheng-Hsin 122<br />

Collins Greg 72<br />

Combette Philippe 134<br />

Conédéra Véronique 249<br />

Corigliano Alberto 53<br />

Costello Suzanne 206, 208<br />

Dalmolin Renzo 386<br />

Dany Maximilien 29<br />

Dauksevicius Rolanas 164<br />

De Angelis Giorgio 263<br />

De Pasquale Giorgio 97, 356<br />

Delabie Christophe 193<br />

Desmulliez Marc P.Y. 41, 110, 206, 207<br />

Deterre Martin 386<br />

Dinglreiter Heinz 277<br />

Dovhij Victor 184<br />

Drysdale D. 35<br />

Dufour-Gergam Elisabeth 386<br />

Dumas Norbert 14, 315, 320<br />

Eftekhar Azam Saeed 53<br />

Elam David 72, 185<br />

Esteves Josué 309<br />

Exertier Anne 193<br />

Fakri Abdenasser 193<br />

Fakri-Bouchet Latifa 193<br />

Flourens F. 81<br />

Flynn David 41<br />

Fujimori Tsukasa 237<br />

Fujimoto Akifumi 333<br />

Fujimoto Jun 227<br />

Garcia Ronald 151<br />

Garraud Alexandra 134<br />

Ghisi Aldo 53<br />

Giani Alain 134<br />

Goto Yasushi 237<br />

Gué Anne-Marie 249<br />

Gyenge Oliver 46<br />

Hacine Souha 320<br />

Hackworth R. 137<br />

Hansen Ulli 46<br />

Hauck Karin 46<br />

398


Heeb P. 128<br />

Heilig Markus 283<br />

Hinchet R. 348<br />

Ho Jing-Yu 23<br />

Hodossy Sandor 324<br />

Hoeffmann Janpeter 200<br />

Holota Victor 184<br />

Hosoi Atsushi 333<br />

Howe R. T. 348<br />

Hsiao Fei-Bin 362<br />

Hsiao Ju-Hsiu 156<br />

Hsu Jen-Sung 294<br />

Hsu Shan-Shan 294<br />

Huang Cheng-Chun 372<br />

Huang Yi-Hsuan 122<br />

Hui Hui 59<br />

Hung Ling-Hsuan 366<br />

Iamoni Sonia 97<br />

Ikehara Tsuyoshi 338<br />

Imamoto Hiroshi 237<br />

Isagawa Kohei 231<br />

Ishida Takao 180<br />

Itao Kiyoshi 212<br />

Itoh Toshihiro 142, 217, 221, 227, 231, 237<br />

Jen Chun-Ping 156, 352, 362<br />

Ju Yang 333<br />

Kaminaga Susumu 288<br />

Karam Jean Michel 211<br />

Kaufmann Jens G. 41<br />

Kay Robert W. 110<br />

Khan Malek Chantal 278<br />

Khan Sheroz 18<br />

Knechtel Roy 106, 344<br />

Knoll Thorsten 273<br />

Kobayashi Takeshi 142, 221, 231<br />

Kogut Igor 184<br />

Köhler Andreas 64<br />

Kolew Alexander 277, 283<br />

Kotha R. 137<br />

Krebs Annabel 273<br />

Kulvietis Genadijus 164<br />

Kurata, Hideaki 237<br />

Lardiès Joseph 187<br />

Latorre Laurent 315, 320<br />

Lee Chai-Yu 245, 305<br />

Lee Chungda 90<br />

Lee Shin-Li 122<br />

Lee Yung-Chun 362<br />

Lefeuvre Elie 258, 386<br />

Leib Juergen 46<br />

Lenczner Michel 59<br />

Leprince-Wang Y. 81<br />

Levy R. 392<br />

Lin Ming-Je 268<br />

Lin Ming-Tzer 159, 329<br />

Lin Tsung-Hung 176<br />

Lissorgues G. 378, 392<br />

Liu Ming 72<br />

Liu Yao-Lung 366<br />

Loisel Pierre 134<br />

Lu Guo-Neng 300<br />

Lu Jian 217<br />

Lucibello Andrea 263<br />

Ma Chunrui 72<br />

Maeda Ryutaro 180, 217, 227, 231, 237, 338<br />

Mailly F. 14<br />

Mailly Frederick 320<br />

Malak M. 81<br />

Marcelli Romolo 263<br />

Marek Jiri 1<br />

Mariani Stefano 53<br />

Markus Heilig 277<br />

Maroufi M. 3<br />

Martel Stéphane 300<br />

Martell Steven R. 206, 210<br />

Martincic Emile 258<br />

Marty F. 81<br />

Masmoudi M. 14<br />

Maus Simon 46<br />

Maxwell R. 137<br />

Mazenq Laurent 249<br />

Megherbi Souhil 258<br />

Metwally Khaled 278<br />

Mezghani B. 14<br />

Mias Solon 241<br />

Michael Steffen 106, 344<br />

Michaelsen J. A. 116<br />

Milasauskaite Ieva 164<br />

Mirabbaszadeh K. 103<br />

Miyake Koji 142<br />

Montès L. 348<br />

Moriera J. R. 137<br />

Moulin Johan 258<br />

MuÅNnch Daniel 283<br />

Nayebi P. 103<br />

Nemashkalo Anastasiia 185<br />

Neylon Sean 211<br />

Nguyen K.N 81<br />

Niessner Martin 8<br />

Nouet Pascal 14, 315, 320<br />

399


Nussbaum Dominic 273<br />

O’Hara T. 35<br />

Okada Hironao 221<br />

Ostasevicius Vytautas 164<br />

Othman Raihan 85<br />

Ouellet Luc 300<br />

Papin G. 392<br />

Parsa R. 348<br />

Paul Steffen 200<br />

Peters-Drolshagen Dagmar 200<br />

Picaud S. 378<br />

Pistor Jonas 200<br />

Pittet Patrick 300<br />

Poulichet Patrick 193, 392<br />

Proietti Emanuela 263<br />

Ramstad J. E. 116<br />

Redondo Roxana 29<br />

Rehder Gustavo 309<br />

Reinert Wolfgang 206, 209<br />

Reitz Sven 64<br />

Rekik A.A. 14<br />

Rencz Marta 324<br />

Ress Sandor 324<br />

Rezaie A.H. 3<br />

Richalot E. 81<br />

Richard Charles 300<br />

Robert Laurent 278<br />

Rousseau Lionel 193, 378<br />

Rufer Libor 309<br />

S. Mousavi M. Mehdi 356<br />

Salleh Hanim 85<br />

Salut Roland 278<br />

Sarkany Zoltan 324<br />

Schäffel Christoph 106, 344<br />

Scherner S. 253<br />

Schneider Marc 277<br />

Schneider Peter 64<br />

Schrag Gabriele 8<br />

Scorsone E. 378<br />

Shahosseini Iman 258<br />

Shamshirsaz Mahnaz 3, 103<br />

Shibayama Nobuhisa 142<br />

Shie Shian Ruei 170<br />

Shiga Shouhei 180<br />

Shih Hsin-Yuan 362<br />

Shih Wei-Hung 245, 305<br />

Shih Wen-Pin 372<br />

Sikora Karsten 283<br />

Silva Emanuel 72<br />

Simoni Barbara 53<br />

Soeraasen O. 116<br />

Somà Aurelio 97, 356<br />

Strzhemechny Yuri 185<br />

Takamatsu Seiichi 142<br />

Tang Jaw-Luen 245, 305<br />

Toepper Michael 46<br />

Tong Chi-Jia 159<br />

Trigona Carlo 315<br />

Tsai Ching-Hsiu 366<br />

Tsai Yu-Tze 381<br />

Tschanun W. 128<br />

Ueda T. 148<br />

Vass-Varnai Andras 324<br />

Velten Thomas 273<br />

Voigt Sebastian 106, 344<br />

Wachutka Gerhard 8<br />

Wang C.H. 35<br />

Wang Dong F. 180, 231, 338<br />

Wang Gou-Jen 23, 381<br />

Wang Jian-Neng 245, 305<br />

Wang Shau-Chun 245, 305<br />

Wang Song Hao 151<br />

Wang Yu-Chi 372<br />

Wang Yu-Ting 159<br />

Weiland D. 253<br />

Weng Feng-Tsai 268<br />

Wilhelm Stefan 110<br />

Wisland D. 116<br />

Wong Philip H.-S. 348<br />

Worgull Matthias 277, 283<br />

Woytasik Marion 258<br />

Wu Chia-Che 366<br />

Wu Ming-Dao 372<br />

Wu Wei-Te 245, 305<br />

Ya Ma Li 18<br />

Yang Hsiharng 176, 268, 294<br />

Yu Jyh-Cheng 90, 197<br />

Yvert B. 378<br />

Zaminpeyma E. 103<br />

Zhang Lan 333<br />

Zhang Yi 217, 221<br />

Zihajehzadeh Sh. 3<br />

Zimin Y. 148<br />

Zoschke Kai 46<br />

400

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!